diff --git a/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/Makefile b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/Makefile new file mode 100644 index 0000000..5e40fc8 --- /dev/null +++ b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/Makefile @@ -0,0 +1,86 @@ +#----------------------------------------------------------------------------- +#- (C) D. Cuartielles for Arduino, December 2015 +#- GPLv3 License +#- based on previous work by Obijuan for BQ +#----------------------------------------------------------------------------- +#-- Este Makefile soporta las arquitecturas de 1K y 8K de Lattice +#-- se basa en la idea de que los ficheros estaran contenidos en una +#-- carpeta que se llamara como el programa a ejecutar (al igual que se +#-- hace en Arduino o Processing), de modo que el Makefile consultara +#-- el nombre de la carpeta para lanzar la compilacion +#-- +#-- Ejemplos: +#-- make sint --> compila para el procesador de 1K +#-- make sint MEMORY=8k --> compila para el procesador de 8K +#-- make sint FILE=blabla --> compila el fichero blabla.v con blabla.pcf +#----------------------------------------------------------------------------- + +#------------------------------------------------------- +#-- Declaracion de variables por defecto +#-- usamos el nombre de la carpeta como nombre del programa +#-- tal y como se hace en Arduino y Processing, de modo +#-- que se simplifique la forma de llamar al Makefile +#-- +#-- por defecto compila para el procesador de 1K de memoria +#------------------------------------------------------- +MKFILE_PATH := $(abspath $(lastword $(MAKEFILE_LIST))) +CURRENT_DIR := $(notdir $(patsubst %/,%,$(dir $(MKFILE_PATH)))) +FILE = $(CURRENT_DIR) +MEMORY = "1k" + +#------------------------------------------------------- +#-- Objetivo por defecto: hacer simulacion y sintesis +#------------------------------------------------------- +all: sim sint + +#---------------------------------------------- +#-- make sim +#---------------------------------------------- +#-- Objetivo para hacer la simulacion del +#-- banco de pruebas +#---------------------------------------------- +sim: $(FILE)_tb.vcd + +#----------------------------------------------- +#- make sint +#----------------------------------------------- +#- Objetivo para realizar la sintetis completa +#- y dejar el diseno listo para su grabacion en +#- la FPGA +#----------------------------------------------- +sint: $(FILE).bin + +#------------------------------- +#-- Compilacion y simulacion +#------------------------------- +$(FILE)_tb.vcd: $(FILE).v $(FILE)_tb.v + + #-- Compilar + iverilog $(FILE).v $(FILE)_tb.v -o $(FILE)_tb.out + + #-- Simular + ./$(FILE)_tb.out + + #-- Ver visualmente la simulacion con gtkwave + gtkwave $(FILE)_tb.vcd $(FILE)_tb.gtkw & + +#------------------------------ +#-- Sintesis completa +#------------------------------ +$(FILE).bin: $(FILE).v $(FILE).pcf + + #-- Sintesis + yosys -p "synth_ice40 -blif $(FILE).blif" $(FILE).v + + #-- Place & route + arachne-pnr -d $(MEMORY) -p $(FILE).pcf $(FILE).blif -o $(FILE).txt + + #-- Generar binario final, listo para descargar en fgpa + icepack $(FILE).txt $(FILE).bin + + +#-- Limpiar todo +clean: + rm -f *.bin *.txt *.blif *.out *.vcd *~ + +.PHONY: all clean diff --git a/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/Readme.md b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/Readme.md new file mode 100644 index 0000000..e4545ab --- /dev/null +++ b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/Readme.md @@ -0,0 +1,23 @@ +## Descripción +Componente "hola mundo" con un pin de salida que siempre está a '1'. +Al cargarlo en la iCE40-HX8K se enciende el led LED1 + +## Simulación + +Para realizar la simulacion entrar en el directorio y ejecutar: + +$ make sim + +Automaticamente se invocará al icarus verilog para hacer la compilacion / simulación y al gtkwave para ver el resultado de la simulacion gráficamente + +## Síntesis + +Para implementar el diseño en la FPGA ejecutamos el comando: + +$ make sint MEMORY=8k + +Se nos genera el fichero T01-setbit.bin que contiene la conguración de la FPGA para que se nos implemente nuestro circuito digital. + +Lo descargamos en la fpga mediante el comando: + +sudo iceprog T01-setbit.bin diff --git a/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit.pcf b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit.pcf new file mode 100644 index 0000000..eb709f8 --- /dev/null +++ b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit.pcf @@ -0,0 +1,18 @@ +# ############################################################################## +# iCEcube PCF +# Version: 2012.09SP1.22498 +# File Generated: Sep 14 2013 17:36:59 +# Tested by: D. Cuartielles +# Latest test: Dec 25 2015 +# Family & Device: iCE40HX8K +# Package: CT256 +# ############################################################################## + +set_io LED1 B5 +set_io LED2 B4 +set_io LED3 A2 +set_io LED4 A1 +set_io LED5 C5 +set_io LED6 C4 +set_io LED7 B3 +set_io LED8 C3 diff --git a/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit.v b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit.v new file mode 100644 index 0000000..7a379b8 --- /dev/null +++ b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit.v @@ -0,0 +1,53 @@ +//----------------------------------------------------------------------------- +// setbit.v +//----------------------------------------------------------------------------- +//- (C) D. Cuartielles for Arduino, December 2015 +//- GPLv3 License +//- based on previous work by Obijuan for BQ +//----------------------------------------------------------------------------- +//-- Componente "hola mundo" que simplemente pone a '1' su salida +//-- Es el ejemplo mas sencillo que se puede sintetizar en +//-- la fpga. Su principal utilidad es comprobar que toda la cadena de +//-- compilacion/sintesis/simulacion funciona correctamente +//----------------------------------------------------------------------------- + +//----------------------------------------------------------------------------- +//-- Modulo setbit +//-- +//-- Definimos nuestro componente como un modulo que tiene solo una salida, que +//-- denominamos LED1. Este pin esta cableado a '1' +//-- para evitar que las otras salidas queden a nivel de voltaje incierto, hay +//-- que declararlas y asignarles una salida a nivel '0' +//----------------------------------------------------------------------------- +module setbit( + output LED1, + output LED2, + output LED3, + output LED4, + output LED5, + output LED6, + output LED7, + output LED8 +); + +wire LED1; +wire LED2; +wire LED3; +wire LED4; +wire LED5; +wire LED6; +wire LED7; +wire LED8; + + //-- Implementacion: el pin deseado esta cableado a '1' + // los demas estan cableados a '0' + assign LED1 = 1; + assign LED2 = 0; + assign LED3 = 0; + assign LED4 = 0; + assign LED5 = 0; + assign LED6 = 0; + assign LED7 = 0; + assign LED8 = 0; + +endmodule diff --git a/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit_tb.gtkw b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit_tb.gtkw new file mode 100644 index 0000000..519f186 --- /dev/null +++ b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit_tb.gtkw @@ -0,0 +1,22 @@ +[*] +[*] GTKWave Analyzer v3.3.58 (w)1999-2014 BSI +[*] Thu Dec 31 00:38:23 2015 +[*] +[dumpfile] "/home/david/Dropbox/UbuntuOne/GITHUB/FPGA/open-fpga-verilog-tutorial/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit_tb.vcd" +[dumpfile_mtime] "Thu Dec 31 00:37:59 2015" +[dumpfile_size] 450 +[savefile] "/home/david/Dropbox/UbuntuOne/GITHUB/FPGA/open-fpga-verilog-tutorial/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit_tb.gtkw" +[timestart] 0 +[size] 1000 600 +[pos] -1 -1 +*-2.672039 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] setbit_tb. +[sst_width] 225 +[signals_width] 78 +[sst_expanded] 1 +[sst_vpaned_height] 160 +@28 +setbit_tb.SB1.LED1 +setbit_tb.SB1.LED2 +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit_tb.v b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit_tb.v new file mode 100644 index 0000000..bed96a7 --- /dev/null +++ b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/T01-setbit_tb.v @@ -0,0 +1,51 @@ +//----------------------------------------------------------------------------- +//-- Banco de prueba para setbit +//-- (c) BQ August 2015 +//-- Written by Juan Gonzalez (obijuan) +//-- mods by D. Cuartielles for Arduino, 2015 December, GPLv3 +//----------------------------------------------------------------------------- +//-- Para la simulacion del componente es necesario hacer un banco de pruebas +//-- que coloque el componente, asigne valor a las entradas y compruebe las +//-- salidas. En el caso del compoente setbit, es muy sencillo. Solo tiene +//-- una salida, así que colocamos un cable a su salida y comprobamos que +//-- efectivamente se encuentra a valor 1 +//----------------------------------------------------------------------------- + +//-- Modulo para el test bench +module setbit_tb; + +//-- Cable para conectar al componente que pone +//-- el bit a uno +wire LED1; + +//--Instanciar el componente. Conectado al cable A +setbit SB1 ( + .LED1 (LED1) +); + +//-- Comenzamos las pruebas +initial begin + + //-- Definir el fichero donde volvar los datos + //-- para ver graficamente la salida + $dumpfile("T01-setbit_tb.vcd"); + + //-- Volcar todos los datos a ese fichero + $dumpvars(0, setbit_tb); + + //-- Pasadas 10 unidades de tiempo comprobamos + //-- si el cable esta a 1 + //-- En caso de no estar a 1, se informa del problema, pero la + //-- simulacion no se detiene + # 10 if (LED1 != 1) + $display("---->¡ERROR! Salida no esta a 1"); + else + $display("Componente ok!"); + + //-- Terminar la simulacion 10 unidades de tiempo + //-- despues + # 10 $finish; +end + + +endmodule diff --git a/tutorial/T01-setbit/bitstreams/Readme.md b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/bitstreams/Readme.md similarity index 100% rename from tutorial/T01-setbit/bitstreams/Readme.md rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/bitstreams/Readme.md diff --git a/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/bitstreams/T01-setbit.bin b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/bitstreams/T01-setbit.bin new file mode 100644 index 0000000..d6064d9 Binary files /dev/null and b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/bitstreams/T01-setbit.bin differ diff --git a/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/Readme.md b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/Readme.md new file mode 100644 index 0000000..08c2a84 --- /dev/null +++ b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/Readme.md @@ -0,0 +1,3 @@ +#IMPORTANTE + +Estas imágenes han de ser actualizadas para la placa HX8K, actualmente son para el ICESTICK diff --git a/tutorial/T01-setbit/images/T01-setbit-iCEstick.png b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/T01-setbit-iCEstick.png similarity index 100% rename from tutorial/T01-setbit/images/T01-setbit-iCEstick.png rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/T01-setbit-iCEstick.png diff --git a/tutorial/T01-setbit/images/T01-setbit-simul-1.png b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/T01-setbit-simul-1.png similarity index 100% rename from tutorial/T01-setbit/images/T01-setbit-simul-1.png rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/T01-setbit-simul-1.png diff --git a/tutorial/T01-setbit/images/setbit-1.png b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-1.png similarity index 100% rename from tutorial/T01-setbit/images/setbit-1.png rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-1.png diff --git a/tutorial/T01-setbit/images/setbit-1.svg b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-1.svg similarity index 100% rename from tutorial/T01-setbit/images/setbit-1.svg rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-1.svg diff --git a/tutorial/T01-setbit/images/setbit-2.png b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-2.png similarity index 100% rename from tutorial/T01-setbit/images/setbit-2.png rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-2.png diff --git a/tutorial/T01-setbit/images/setbit-2.svg b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-2.svg similarity index 100% rename from tutorial/T01-setbit/images/setbit-2.svg rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-2.svg diff --git a/tutorial/T01-setbit/images/setbit-3.png b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-3.png similarity index 100% rename from tutorial/T01-setbit/images/setbit-3.png rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-3.png diff --git a/tutorial/T01-setbit/images/setbit-3.svg b/tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-3.svg similarity index 100% rename from tutorial/T01-setbit/images/setbit-3.svg rename to tutorial/ICE40-HX8K_Breakout_Board/T01-setbit/images/setbit-3.svg diff --git a/tutorial/T00-Intro/images/bq-logo-cc-sa-small-150px.png b/tutorial/ICESTICK/T00-Intro/images/bq-logo-cc-sa-small-150px.png similarity index 100% rename from tutorial/T00-Intro/images/bq-logo-cc-sa-small-150px.png rename to tutorial/ICESTICK/T00-Intro/images/bq-logo-cc-sa-small-150px.png diff --git a/tutorial/T00-Intro/images/checkpoint-charlie.png b/tutorial/ICESTICK/T00-Intro/images/checkpoint-charlie.png similarity index 100% rename from tutorial/T00-Intro/images/checkpoint-charlie.png rename to tutorial/ICESTICK/T00-Intro/images/checkpoint-charlie.png diff --git a/tutorial/T00-Intro/images/fpga-bitstream1.png b/tutorial/ICESTICK/T00-Intro/images/fpga-bitstream1.png similarity index 100% rename from tutorial/T00-Intro/images/fpga-bitstream1.png rename to tutorial/ICESTICK/T00-Intro/images/fpga-bitstream1.png diff --git a/tutorial/T00-Intro/images/fpga-bitstream1.svg b/tutorial/ICESTICK/T00-Intro/images/fpga-bitstream1.svg similarity index 100% rename from tutorial/T00-Intro/images/fpga-bitstream1.svg rename to tutorial/ICESTICK/T00-Intro/images/fpga-bitstream1.svg diff --git a/tutorial/T00-Intro/images/fpga-config1.png b/tutorial/ICESTICK/T00-Intro/images/fpga-config1.png similarity index 100% rename from tutorial/T00-Intro/images/fpga-config1.png rename to tutorial/ICESTICK/T00-Intro/images/fpga-config1.png diff --git a/tutorial/T00-Intro/images/fpga-config1.svg b/tutorial/ICESTICK/T00-Intro/images/fpga-config1.svg similarity index 100% rename from tutorial/T00-Intro/images/fpga-config1.svg rename to tutorial/ICESTICK/T00-Intro/images/fpga-config1.svg diff --git a/tutorial/T00-Intro/images/icestorm-1.png b/tutorial/ICESTICK/T00-Intro/images/icestorm-1.png similarity index 100% rename from tutorial/T00-Intro/images/icestorm-1.png rename to tutorial/ICESTICK/T00-Intro/images/icestorm-1.png diff --git a/tutorial/T00-Intro/images/icestorm-1.svg b/tutorial/ICESTICK/T00-Intro/images/icestorm-1.svg similarity index 100% rename from tutorial/T00-Intro/images/icestorm-1.svg rename to tutorial/ICESTICK/T00-Intro/images/icestorm-1.svg diff --git a/tutorial/T01-setbit/Makefile b/tutorial/ICESTICK/T01-setbit/Makefile similarity index 100% rename from tutorial/T01-setbit/Makefile rename to tutorial/ICESTICK/T01-setbit/Makefile diff --git a/tutorial/T01-setbit/Readme.md b/tutorial/ICESTICK/T01-setbit/Readme.md similarity index 100% rename from tutorial/T01-setbit/Readme.md rename to tutorial/ICESTICK/T01-setbit/Readme.md diff --git a/tutorial/ICESTICK/T01-setbit/bitstreams/Readme.md b/tutorial/ICESTICK/T01-setbit/bitstreams/Readme.md new file mode 100644 index 0000000..68d618c --- /dev/null +++ b/tutorial/ICESTICK/T01-setbit/bitstreams/Readme.md @@ -0,0 +1,3 @@ +Bitstreams ya generados listos para cargar en la fpga +(para hacer pruebas sin tener que sintetizar) + diff --git a/tutorial/T01-setbit/bitstreams/setbit.bin b/tutorial/ICESTICK/T01-setbit/bitstreams/setbit.bin similarity index 100% rename from tutorial/T01-setbit/bitstreams/setbit.bin rename to tutorial/ICESTICK/T01-setbit/bitstreams/setbit.bin diff --git a/tutorial/ICESTICK/T01-setbit/images/T01-setbit-iCEstick.png b/tutorial/ICESTICK/T01-setbit/images/T01-setbit-iCEstick.png new file mode 100644 index 0000000..06c35d2 Binary files /dev/null and b/tutorial/ICESTICK/T01-setbit/images/T01-setbit-iCEstick.png differ diff --git a/tutorial/ICESTICK/T01-setbit/images/T01-setbit-simul-1.png b/tutorial/ICESTICK/T01-setbit/images/T01-setbit-simul-1.png new file mode 100644 index 0000000..fafe444 Binary files /dev/null and b/tutorial/ICESTICK/T01-setbit/images/T01-setbit-simul-1.png differ diff --git a/tutorial/ICESTICK/T01-setbit/images/setbit-1.png b/tutorial/ICESTICK/T01-setbit/images/setbit-1.png new file mode 100644 index 0000000..f2e68f9 Binary files /dev/null and b/tutorial/ICESTICK/T01-setbit/images/setbit-1.png differ diff --git a/tutorial/ICESTICK/T01-setbit/images/setbit-1.svg b/tutorial/ICESTICK/T01-setbit/images/setbit-1.svg new file mode 100644 index 0000000..eec859a --- /dev/null +++ b/tutorial/ICESTICK/T01-setbit/images/setbit-1.svg @@ -0,0 +1,125 @@ + + + + + + + + + + image/svg+xml + + + + + + + + Componentesetbit + + A + + + "1" + + diff --git a/tutorial/ICESTICK/T01-setbit/images/setbit-2.png b/tutorial/ICESTICK/T01-setbit/images/setbit-2.png new file mode 100644 index 0000000..1ad8d49 Binary files /dev/null and b/tutorial/ICESTICK/T01-setbit/images/setbit-2.png differ diff --git a/tutorial/ICESTICK/T01-setbit/images/setbit-2.svg b/tutorial/ICESTICK/T01-setbit/images/setbit-2.svg new file mode 100644 index 0000000..b6c7786 --- /dev/null +++ b/tutorial/ICESTICK/T01-setbit/images/setbit-2.svg @@ -0,0 +1,535 @@ + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + setbit + + A + + + "1" + + FPGA + 95 + + 96 + + 97 + + 98 + + 99 + + + + + + + Pines de la FPGA + Mapeo entre los pines denuestros componentes y losde la FPGA + + Fuera de la FPGA + + + + + LED + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/tutorial/ICESTICK/T01-setbit/images/setbit-3.png b/tutorial/ICESTICK/T01-setbit/images/setbit-3.png new file mode 100644 index 0000000..c3ac33e Binary files /dev/null and b/tutorial/ICESTICK/T01-setbit/images/setbit-3.png differ diff --git a/tutorial/ICESTICK/T01-setbit/images/setbit-3.svg b/tutorial/ICESTICK/T01-setbit/images/setbit-3.svg new file mode 100644 index 0000000..1d0be5b --- /dev/null +++ b/tutorial/ICESTICK/T01-setbit/images/setbit-3.svg @@ -0,0 +1,239 @@ + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + setbit + + A + + + "1" + Banco de pruebas + setbit_tb.v + A + + Comprobaciónde la salida + + + + diff --git a/tutorial/T01-setbit/setbit.pcf b/tutorial/ICESTICK/T01-setbit/setbit.pcf similarity index 100% rename from tutorial/T01-setbit/setbit.pcf rename to tutorial/ICESTICK/T01-setbit/setbit.pcf diff --git a/tutorial/T01-setbit/setbit.v b/tutorial/ICESTICK/T01-setbit/setbit.v similarity index 100% rename from tutorial/T01-setbit/setbit.v rename to tutorial/ICESTICK/T01-setbit/setbit.v diff --git a/tutorial/T01-setbit/setbit_tb.gtkw b/tutorial/ICESTICK/T01-setbit/setbit_tb.gtkw similarity index 100% rename from tutorial/T01-setbit/setbit_tb.gtkw rename to tutorial/ICESTICK/T01-setbit/setbit_tb.gtkw diff --git a/tutorial/T01-setbit/setbit_tb.v b/tutorial/ICESTICK/T01-setbit/setbit_tb.v similarity index 100% rename from tutorial/T01-setbit/setbit_tb.v rename to tutorial/ICESTICK/T01-setbit/setbit_tb.v diff --git a/tutorial/T02-Fport/Fport.pcf b/tutorial/ICESTICK/T02-Fport/Fport.pcf similarity index 100% rename from tutorial/T02-Fport/Fport.pcf rename to tutorial/ICESTICK/T02-Fport/Fport.pcf diff --git a/tutorial/T02-Fport/Fport.v b/tutorial/ICESTICK/T02-Fport/Fport.v similarity index 100% rename from tutorial/T02-Fport/Fport.v rename to tutorial/ICESTICK/T02-Fport/Fport.v diff --git a/tutorial/T02-Fport/Fport_tb.gtkw b/tutorial/ICESTICK/T02-Fport/Fport_tb.gtkw similarity index 100% rename from tutorial/T02-Fport/Fport_tb.gtkw rename to tutorial/ICESTICK/T02-Fport/Fport_tb.gtkw diff --git a/tutorial/T02-Fport/Fport_tb.v b/tutorial/ICESTICK/T02-Fport/Fport_tb.v similarity index 100% rename from tutorial/T02-Fport/Fport_tb.v rename to tutorial/ICESTICK/T02-Fport/Fport_tb.v diff --git a/tutorial/T02-Fport/Makefile b/tutorial/ICESTICK/T02-Fport/Makefile similarity index 100% rename from tutorial/T02-Fport/Makefile rename to tutorial/ICESTICK/T02-Fport/Makefile diff --git a/tutorial/T02-Fport/Readme.md b/tutorial/ICESTICK/T02-Fport/Readme.md similarity index 100% rename from tutorial/T02-Fport/Readme.md rename to tutorial/ICESTICK/T02-Fport/Readme.md diff --git a/tutorial/T02-Fport/bitstreams/Fport.bin b/tutorial/ICESTICK/T02-Fport/bitstreams/Fport.bin similarity index 100% rename from tutorial/T02-Fport/bitstreams/Fport.bin rename to tutorial/ICESTICK/T02-Fport/bitstreams/Fport.bin diff --git a/tutorial/T02-Fport/images/Fport-1.png b/tutorial/ICESTICK/T02-Fport/images/Fport-1.png similarity index 100% rename from tutorial/T02-Fport/images/Fport-1.png rename to tutorial/ICESTICK/T02-Fport/images/Fport-1.png diff --git a/tutorial/T02-Fport/images/Fport-1.svg b/tutorial/ICESTICK/T02-Fport/images/Fport-1.svg similarity index 100% rename from tutorial/T02-Fport/images/Fport-1.svg rename to tutorial/ICESTICK/T02-Fport/images/Fport-1.svg diff --git a/tutorial/T02-Fport/images/Fport-2.png b/tutorial/ICESTICK/T02-Fport/images/Fport-2.png similarity index 100% rename from tutorial/T02-Fport/images/Fport-2.png rename to tutorial/ICESTICK/T02-Fport/images/Fport-2.png diff --git a/tutorial/T02-Fport/images/Fport-2.svg b/tutorial/ICESTICK/T02-Fport/images/Fport-2.svg similarity index 100% rename from tutorial/T02-Fport/images/Fport-2.svg rename to tutorial/ICESTICK/T02-Fport/images/Fport-2.svg diff --git a/tutorial/T02-Fport/images/Fport-3.png b/tutorial/ICESTICK/T02-Fport/images/Fport-3.png similarity index 100% rename from tutorial/T02-Fport/images/Fport-3.png rename to tutorial/ICESTICK/T02-Fport/images/Fport-3.png diff --git a/tutorial/T02-Fport/images/Fport-3.svg b/tutorial/ICESTICK/T02-Fport/images/Fport-3.svg similarity index 100% rename from tutorial/T02-Fport/images/Fport-3.svg rename to tutorial/ICESTICK/T02-Fport/images/Fport-3.svg diff --git a/tutorial/T02-Fport/images/Fport-4.png b/tutorial/ICESTICK/T02-Fport/images/Fport-4.png similarity index 100% rename from tutorial/T02-Fport/images/Fport-4.png rename to tutorial/ICESTICK/T02-Fport/images/Fport-4.png diff --git a/tutorial/T02-Fport/images/Fport-iCEstick-1.png b/tutorial/ICESTICK/T02-Fport/images/Fport-iCEstick-1.png similarity index 100% rename from tutorial/T02-Fport/images/Fport-iCEstick-1.png rename to tutorial/ICESTICK/T02-Fport/images/Fport-iCEstick-1.png diff --git a/tutorial/T02-Fport/images/Fport-iCEstick-2.png b/tutorial/ICESTICK/T02-Fport/images/Fport-iCEstick-2.png similarity index 100% rename from tutorial/T02-Fport/images/Fport-iCEstick-2.png rename to tutorial/ICESTICK/T02-Fport/images/Fport-iCEstick-2.png diff --git a/tutorial/T02-Fport/images/Fport-sim-1.png b/tutorial/ICESTICK/T02-Fport/images/Fport-sim-1.png similarity index 100% rename from tutorial/T02-Fport/images/Fport-sim-1.png rename to tutorial/ICESTICK/T02-Fport/images/Fport-sim-1.png diff --git a/tutorial/T03-inv/Makefile b/tutorial/ICESTICK/T03-inv/Makefile similarity index 100% rename from tutorial/T03-inv/Makefile rename to tutorial/ICESTICK/T03-inv/Makefile diff --git a/tutorial/T03-inv/Readme.md b/tutorial/ICESTICK/T03-inv/Readme.md similarity index 100% rename from tutorial/T03-inv/Readme.md rename to tutorial/ICESTICK/T03-inv/Readme.md diff --git a/tutorial/T03-inv/bitstreams/inv.bin b/tutorial/ICESTICK/T03-inv/bitstreams/inv.bin similarity index 100% rename from tutorial/T03-inv/bitstreams/inv.bin rename to tutorial/ICESTICK/T03-inv/bitstreams/inv.bin diff --git a/tutorial/T03-inv/images/T03-inv-iCEstick-1.png b/tutorial/ICESTICK/T03-inv/images/T03-inv-iCEstick-1.png similarity index 100% rename from tutorial/T03-inv/images/T03-inv-iCEstick-1.png rename to tutorial/ICESTICK/T03-inv/images/T03-inv-iCEstick-1.png diff --git a/tutorial/T03-inv/images/T03-inv-iCEstick-3.png b/tutorial/ICESTICK/T03-inv/images/T03-inv-iCEstick-3.png similarity index 100% rename from tutorial/T03-inv/images/T03-inv-iCEstick-3.png rename to tutorial/ICESTICK/T03-inv/images/T03-inv-iCEstick-3.png diff --git a/tutorial/T03-inv/images/inv-1.png b/tutorial/ICESTICK/T03-inv/images/inv-1.png similarity index 100% rename from tutorial/T03-inv/images/inv-1.png rename to tutorial/ICESTICK/T03-inv/images/inv-1.png diff --git a/tutorial/T03-inv/images/inv-1.svg b/tutorial/ICESTICK/T03-inv/images/inv-1.svg similarity index 100% rename from tutorial/T03-inv/images/inv-1.svg rename to tutorial/ICESTICK/T03-inv/images/inv-1.svg diff --git a/tutorial/T03-inv/images/inv-2.png b/tutorial/ICESTICK/T03-inv/images/inv-2.png similarity index 100% rename from tutorial/T03-inv/images/inv-2.png rename to tutorial/ICESTICK/T03-inv/images/inv-2.png diff --git a/tutorial/T03-inv/images/inv-2.svg b/tutorial/ICESTICK/T03-inv/images/inv-2.svg similarity index 100% rename from tutorial/T03-inv/images/inv-2.svg rename to tutorial/ICESTICK/T03-inv/images/inv-2.svg diff --git a/tutorial/T03-inv/images/inv-3.png b/tutorial/ICESTICK/T03-inv/images/inv-3.png similarity index 100% rename from tutorial/T03-inv/images/inv-3.png rename to tutorial/ICESTICK/T03-inv/images/inv-3.png diff --git a/tutorial/T03-inv/images/inv-3.svg b/tutorial/ICESTICK/T03-inv/images/inv-3.svg similarity index 100% rename from tutorial/T03-inv/images/inv-3.svg rename to tutorial/ICESTICK/T03-inv/images/inv-3.svg diff --git a/tutorial/T03-inv/images/inv-4.png b/tutorial/ICESTICK/T03-inv/images/inv-4.png similarity index 100% rename from tutorial/T03-inv/images/inv-4.png rename to tutorial/ICESTICK/T03-inv/images/inv-4.png diff --git a/tutorial/T03-inv/images/inv-4.svg b/tutorial/ICESTICK/T03-inv/images/inv-4.svg similarity index 100% rename from tutorial/T03-inv/images/inv-4.svg rename to tutorial/ICESTICK/T03-inv/images/inv-4.svg diff --git a/tutorial/T03-inv/images/inv-5.png b/tutorial/ICESTICK/T03-inv/images/inv-5.png similarity index 100% rename from tutorial/T03-inv/images/inv-5.png rename to tutorial/ICESTICK/T03-inv/images/inv-5.png diff --git a/tutorial/T03-inv/inv.pcf b/tutorial/ICESTICK/T03-inv/inv.pcf similarity index 100% rename from tutorial/T03-inv/inv.pcf rename to tutorial/ICESTICK/T03-inv/inv.pcf diff --git a/tutorial/T03-inv/inv.v b/tutorial/ICESTICK/T03-inv/inv.v similarity index 100% rename from tutorial/T03-inv/inv.v rename to tutorial/ICESTICK/T03-inv/inv.v diff --git a/tutorial/T03-inv/inv_tb.gtkw b/tutorial/ICESTICK/T03-inv/inv_tb.gtkw similarity index 100% rename from tutorial/T03-inv/inv_tb.gtkw rename to tutorial/ICESTICK/T03-inv/inv_tb.gtkw diff --git a/tutorial/T03-inv/inv_tb.v b/tutorial/ICESTICK/T03-inv/inv_tb.v similarity index 100% rename from tutorial/T03-inv/inv_tb.v rename to tutorial/ICESTICK/T03-inv/inv_tb.v diff --git a/tutorial/T04-counter/Makefile b/tutorial/ICESTICK/T04-counter/Makefile similarity index 100% rename from tutorial/T04-counter/Makefile rename to tutorial/ICESTICK/T04-counter/Makefile diff --git a/tutorial/T04-counter/Readme.md b/tutorial/ICESTICK/T04-counter/Readme.md similarity index 100% rename from tutorial/T04-counter/Readme.md rename to tutorial/ICESTICK/T04-counter/Readme.md diff --git a/tutorial/T04-counter/bitstreams/counter.bin b/tutorial/ICESTICK/T04-counter/bitstreams/counter.bin similarity index 100% rename from tutorial/T04-counter/bitstreams/counter.bin rename to tutorial/ICESTICK/T04-counter/bitstreams/counter.bin diff --git a/tutorial/T04-counter/counter.pcf b/tutorial/ICESTICK/T04-counter/counter.pcf similarity index 100% rename from tutorial/T04-counter/counter.pcf rename to tutorial/ICESTICK/T04-counter/counter.pcf diff --git a/tutorial/T04-counter/counter.v b/tutorial/ICESTICK/T04-counter/counter.v similarity index 100% rename from tutorial/T04-counter/counter.v rename to tutorial/ICESTICK/T04-counter/counter.v diff --git a/tutorial/T04-counter/counter_tb.gtkw b/tutorial/ICESTICK/T04-counter/counter_tb.gtkw similarity index 100% rename from tutorial/T04-counter/counter_tb.gtkw rename to tutorial/ICESTICK/T04-counter/counter_tb.gtkw diff --git a/tutorial/T04-counter/counter_tb.v b/tutorial/ICESTICK/T04-counter/counter_tb.v similarity index 100% rename from tutorial/T04-counter/counter_tb.v rename to tutorial/ICESTICK/T04-counter/counter_tb.v diff --git a/tutorial/T04-counter/images/T04-counter-iCEstick-1.png b/tutorial/ICESTICK/T04-counter/images/T04-counter-iCEstick-1.png similarity index 100% rename from tutorial/T04-counter/images/T04-counter-iCEstick-1.png rename to tutorial/ICESTICK/T04-counter/images/T04-counter-iCEstick-1.png diff --git a/tutorial/T04-counter/images/T04-counter-sim-1.png b/tutorial/ICESTICK/T04-counter/images/T04-counter-sim-1.png similarity index 100% rename from tutorial/T04-counter/images/T04-counter-sim-1.png rename to tutorial/ICESTICK/T04-counter/images/T04-counter-sim-1.png diff --git a/tutorial/T04-counter/images/counter-1.png b/tutorial/ICESTICK/T04-counter/images/counter-1.png similarity index 100% rename from tutorial/T04-counter/images/counter-1.png rename to tutorial/ICESTICK/T04-counter/images/counter-1.png diff --git a/tutorial/T04-counter/images/counter-1.svg b/tutorial/ICESTICK/T04-counter/images/counter-1.svg similarity index 100% rename from tutorial/T04-counter/images/counter-1.svg rename to tutorial/ICESTICK/T04-counter/images/counter-1.svg diff --git a/tutorial/T04-counter/images/counter-2.png b/tutorial/ICESTICK/T04-counter/images/counter-2.png similarity index 100% rename from tutorial/T04-counter/images/counter-2.png rename to tutorial/ICESTICK/T04-counter/images/counter-2.png diff --git a/tutorial/T04-counter/images/counter-2.svg b/tutorial/ICESTICK/T04-counter/images/counter-2.svg similarity index 100% rename from tutorial/T04-counter/images/counter-2.svg rename to tutorial/ICESTICK/T04-counter/images/counter-2.svg diff --git a/tutorial/T04-counter/images/counter-3.png b/tutorial/ICESTICK/T04-counter/images/counter-3.png similarity index 100% rename from tutorial/T04-counter/images/counter-3.png rename to tutorial/ICESTICK/T04-counter/images/counter-3.png diff --git a/tutorial/T04-counter/images/counter-3.svg b/tutorial/ICESTICK/T04-counter/images/counter-3.svg similarity index 100% rename from tutorial/T04-counter/images/counter-3.svg rename to tutorial/ICESTICK/T04-counter/images/counter-3.svg diff --git a/tutorial/T05-prescaler/Makefile b/tutorial/ICESTICK/T05-prescaler/Makefile similarity index 100% rename from tutorial/T05-prescaler/Makefile rename to tutorial/ICESTICK/T05-prescaler/Makefile diff --git a/tutorial/T05-prescaler/Readme.md b/tutorial/ICESTICK/T05-prescaler/Readme.md similarity index 100% rename from tutorial/T05-prescaler/Readme.md rename to tutorial/ICESTICK/T05-prescaler/Readme.md diff --git a/tutorial/T05-prescaler/bitstream/prescaler.bin b/tutorial/ICESTICK/T05-prescaler/bitstream/prescaler.bin similarity index 100% rename from tutorial/T05-prescaler/bitstream/prescaler.bin rename to tutorial/ICESTICK/T05-prescaler/bitstream/prescaler.bin diff --git a/tutorial/T05-prescaler/images/T05-prescaler-iCEstick-1.png b/tutorial/ICESTICK/T05-prescaler/images/T05-prescaler-iCEstick-1.png similarity index 100% rename from tutorial/T05-prescaler/images/T05-prescaler-iCEstick-1.png rename to tutorial/ICESTICK/T05-prescaler/images/T05-prescaler-iCEstick-1.png diff --git a/tutorial/T05-prescaler/images/T05-prescaler-sim-N-2.png b/tutorial/ICESTICK/T05-prescaler/images/T05-prescaler-sim-N-2.png similarity index 100% rename from tutorial/T05-prescaler/images/T05-prescaler-sim-N-2.png rename to tutorial/ICESTICK/T05-prescaler/images/T05-prescaler-sim-N-2.png diff --git a/tutorial/T05-prescaler/images/T05-prescaler-sim-N-3.png b/tutorial/ICESTICK/T05-prescaler/images/T05-prescaler-sim-N-3.png similarity index 100% rename from tutorial/T05-prescaler/images/T05-prescaler-sim-N-3.png rename to tutorial/ICESTICK/T05-prescaler/images/T05-prescaler-sim-N-3.png diff --git a/tutorial/T05-prescaler/images/prescaler-1.png b/tutorial/ICESTICK/T05-prescaler/images/prescaler-1.png similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-1.png rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-1.png diff --git a/tutorial/T05-prescaler/images/prescaler-1.svg b/tutorial/ICESTICK/T05-prescaler/images/prescaler-1.svg similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-1.svg rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-1.svg diff --git a/tutorial/T05-prescaler/images/prescaler-2.png b/tutorial/ICESTICK/T05-prescaler/images/prescaler-2.png similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-2.png rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-2.png diff --git a/tutorial/T05-prescaler/images/prescaler-2.svg b/tutorial/ICESTICK/T05-prescaler/images/prescaler-2.svg similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-2.svg rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-2.svg diff --git a/tutorial/T05-prescaler/images/prescaler-3.png b/tutorial/ICESTICK/T05-prescaler/images/prescaler-3.png similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-3.png rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-3.png diff --git a/tutorial/T05-prescaler/images/prescaler-3.svg b/tutorial/ICESTICK/T05-prescaler/images/prescaler-3.svg similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-3.svg rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-3.svg diff --git a/tutorial/T05-prescaler/images/prescaler-4.png b/tutorial/ICESTICK/T05-prescaler/images/prescaler-4.png similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-4.png rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-4.png diff --git a/tutorial/T05-prescaler/images/prescaler-4.svg b/tutorial/ICESTICK/T05-prescaler/images/prescaler-4.svg similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-4.svg rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-4.svg diff --git a/tutorial/T05-prescaler/images/prescaler-5.png b/tutorial/ICESTICK/T05-prescaler/images/prescaler-5.png similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-5.png rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-5.png diff --git a/tutorial/T05-prescaler/images/prescaler-5.svg b/tutorial/ICESTICK/T05-prescaler/images/prescaler-5.svg similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-5.svg rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-5.svg diff --git a/tutorial/T05-prescaler/images/prescaler-6.png b/tutorial/ICESTICK/T05-prescaler/images/prescaler-6.png similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-6.png rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-6.png diff --git a/tutorial/T05-prescaler/images/prescaler-6.svg b/tutorial/ICESTICK/T05-prescaler/images/prescaler-6.svg similarity index 100% rename from tutorial/T05-prescaler/images/prescaler-6.svg rename to tutorial/ICESTICK/T05-prescaler/images/prescaler-6.svg diff --git a/tutorial/T05-prescaler/prescaler.pcf b/tutorial/ICESTICK/T05-prescaler/prescaler.pcf similarity index 100% rename from tutorial/T05-prescaler/prescaler.pcf rename to tutorial/ICESTICK/T05-prescaler/prescaler.pcf diff --git a/tutorial/T05-prescaler/prescaler.v b/tutorial/ICESTICK/T05-prescaler/prescaler.v similarity index 100% rename from tutorial/T05-prescaler/prescaler.v rename to tutorial/ICESTICK/T05-prescaler/prescaler.v diff --git a/tutorial/T05-prescaler/prescaler_tb.gtkw b/tutorial/ICESTICK/T05-prescaler/prescaler_tb.gtkw similarity index 100% rename from tutorial/T05-prescaler/prescaler_tb.gtkw rename to tutorial/ICESTICK/T05-prescaler/prescaler_tb.gtkw diff --git a/tutorial/T05-prescaler/prescaler_tb.v b/tutorial/ICESTICK/T05-prescaler/prescaler_tb.v similarity index 100% rename from tutorial/T05-prescaler/prescaler_tb.v rename to tutorial/ICESTICK/T05-prescaler/prescaler_tb.v diff --git a/tutorial/T06-multiples-prescalers/Makefile b/tutorial/ICESTICK/T06-multiples-prescalers/Makefile similarity index 100% rename from tutorial/T06-multiples-prescalers/Makefile rename to tutorial/ICESTICK/T06-multiples-prescalers/Makefile diff --git a/tutorial/T06-multiples-prescalers/Readme.md b/tutorial/ICESTICK/T06-multiples-prescalers/Readme.md similarity index 100% rename from tutorial/T06-multiples-prescalers/Readme.md rename to tutorial/ICESTICK/T06-multiples-prescalers/Readme.md diff --git a/tutorial/T06-multiples-prescalers/bitstreams/mpres.bin b/tutorial/ICESTICK/T06-multiples-prescalers/bitstreams/mpres.bin similarity index 100% rename from tutorial/T06-multiples-prescalers/bitstreams/mpres.bin rename to tutorial/ICESTICK/T06-multiples-prescalers/bitstreams/mpres.bin diff --git a/tutorial/T06-multiples-prescalers/images/T06-mpres-iCEstick-1.png b/tutorial/ICESTICK/T06-multiples-prescalers/images/T06-mpres-iCEstick-1.png similarity index 100% rename from tutorial/T06-multiples-prescalers/images/T06-mpres-iCEstick-1.png rename to tutorial/ICESTICK/T06-multiples-prescalers/images/T06-mpres-iCEstick-1.png diff --git a/tutorial/T06-multiples-prescalers/images/T06-mpres-sim-1.png b/tutorial/ICESTICK/T06-multiples-prescalers/images/T06-mpres-sim-1.png similarity index 100% rename from tutorial/T06-multiples-prescalers/images/T06-mpres-sim-1.png rename to tutorial/ICESTICK/T06-multiples-prescalers/images/T06-mpres-sim-1.png diff --git a/tutorial/T06-multiples-prescalers/images/mpres-1.png b/tutorial/ICESTICK/T06-multiples-prescalers/images/mpres-1.png similarity index 100% rename from tutorial/T06-multiples-prescalers/images/mpres-1.png rename to tutorial/ICESTICK/T06-multiples-prescalers/images/mpres-1.png diff --git a/tutorial/T06-multiples-prescalers/images/mpres-1.svg b/tutorial/ICESTICK/T06-multiples-prescalers/images/mpres-1.svg similarity index 100% rename from tutorial/T06-multiples-prescalers/images/mpres-1.svg rename to tutorial/ICESTICK/T06-multiples-prescalers/images/mpres-1.svg diff --git a/tutorial/T06-multiples-prescalers/images/mpres-2.png b/tutorial/ICESTICK/T06-multiples-prescalers/images/mpres-2.png similarity index 100% rename from tutorial/T06-multiples-prescalers/images/mpres-2.png rename to tutorial/ICESTICK/T06-multiples-prescalers/images/mpres-2.png diff --git a/tutorial/T06-multiples-prescalers/images/mpres-2.svg b/tutorial/ICESTICK/T06-multiples-prescalers/images/mpres-2.svg similarity index 100% rename from tutorial/T06-multiples-prescalers/images/mpres-2.svg rename to tutorial/ICESTICK/T06-multiples-prescalers/images/mpres-2.svg diff --git a/tutorial/T06-multiples-prescalers/mpres.pcf b/tutorial/ICESTICK/T06-multiples-prescalers/mpres.pcf similarity index 100% rename from tutorial/T06-multiples-prescalers/mpres.pcf rename to tutorial/ICESTICK/T06-multiples-prescalers/mpres.pcf diff --git a/tutorial/T06-multiples-prescalers/mpres.v b/tutorial/ICESTICK/T06-multiples-prescalers/mpres.v similarity index 100% rename from tutorial/T06-multiples-prescalers/mpres.v rename to tutorial/ICESTICK/T06-multiples-prescalers/mpres.v diff --git a/tutorial/T06-multiples-prescalers/mpres_tb.gtkw b/tutorial/ICESTICK/T06-multiples-prescalers/mpres_tb.gtkw similarity index 100% rename from tutorial/T06-multiples-prescalers/mpres_tb.gtkw rename to tutorial/ICESTICK/T06-multiples-prescalers/mpres_tb.gtkw diff --git a/tutorial/T06-multiples-prescalers/mpres_tb.v b/tutorial/ICESTICK/T06-multiples-prescalers/mpres_tb.v similarity index 100% rename from tutorial/T06-multiples-prescalers/mpres_tb.v rename to tutorial/ICESTICK/T06-multiples-prescalers/mpres_tb.v diff --git a/tutorial/T06-multiples-prescalers/prescaler.v b/tutorial/ICESTICK/T06-multiples-prescalers/prescaler.v similarity index 100% rename from tutorial/T06-multiples-prescalers/prescaler.v rename to tutorial/ICESTICK/T06-multiples-prescalers/prescaler.v diff --git a/tutorial/T07-contador-prescaler/Makefile b/tutorial/ICESTICK/T07-contador-prescaler/Makefile similarity index 100% rename from tutorial/T07-contador-prescaler/Makefile rename to tutorial/ICESTICK/T07-contador-prescaler/Makefile diff --git a/tutorial/T07-contador-prescaler/Readme.md b/tutorial/ICESTICK/T07-contador-prescaler/Readme.md similarity index 100% rename from tutorial/T07-contador-prescaler/Readme.md rename to tutorial/ICESTICK/T07-contador-prescaler/Readme.md diff --git a/tutorial/T07-contador-prescaler/bitstreams/counter4.bin b/tutorial/ICESTICK/T07-contador-prescaler/bitstreams/counter4.bin similarity index 100% rename from tutorial/T07-contador-prescaler/bitstreams/counter4.bin rename to tutorial/ICESTICK/T07-contador-prescaler/bitstreams/counter4.bin diff --git a/tutorial/T07-contador-prescaler/counter4.pcf b/tutorial/ICESTICK/T07-contador-prescaler/counter4.pcf similarity index 100% rename from tutorial/T07-contador-prescaler/counter4.pcf rename to tutorial/ICESTICK/T07-contador-prescaler/counter4.pcf diff --git a/tutorial/T07-contador-prescaler/counter4.v b/tutorial/ICESTICK/T07-contador-prescaler/counter4.v similarity index 100% rename from tutorial/T07-contador-prescaler/counter4.v rename to tutorial/ICESTICK/T07-contador-prescaler/counter4.v diff --git a/tutorial/T07-contador-prescaler/counter4_tb.gtkw b/tutorial/ICESTICK/T07-contador-prescaler/counter4_tb.gtkw similarity index 100% rename from tutorial/T07-contador-prescaler/counter4_tb.gtkw rename to tutorial/ICESTICK/T07-contador-prescaler/counter4_tb.gtkw diff --git a/tutorial/T07-contador-prescaler/counter4_tb.v b/tutorial/ICESTICK/T07-contador-prescaler/counter4_tb.v similarity index 100% rename from tutorial/T07-contador-prescaler/counter4_tb.v rename to tutorial/ICESTICK/T07-contador-prescaler/counter4_tb.v diff --git a/tutorial/T07-contador-prescaler/images/T07-counter4-simulation-1.png b/tutorial/ICESTICK/T07-contador-prescaler/images/T07-counter4-simulation-1.png similarity index 100% rename from tutorial/T07-contador-prescaler/images/T07-counter4-simulation-1.png rename to tutorial/ICESTICK/T07-contador-prescaler/images/T07-counter4-simulation-1.png diff --git a/tutorial/T07-contador-prescaler/images/counter4-1.png b/tutorial/ICESTICK/T07-contador-prescaler/images/counter4-1.png similarity index 100% rename from tutorial/T07-contador-prescaler/images/counter4-1.png rename to tutorial/ICESTICK/T07-contador-prescaler/images/counter4-1.png diff --git a/tutorial/T07-contador-prescaler/images/counter4-1.svg b/tutorial/ICESTICK/T07-contador-prescaler/images/counter4-1.svg similarity index 100% rename from tutorial/T07-contador-prescaler/images/counter4-1.svg rename to tutorial/ICESTICK/T07-contador-prescaler/images/counter4-1.svg diff --git a/tutorial/T07-contador-prescaler/prescaler.v b/tutorial/ICESTICK/T07-contador-prescaler/prescaler.v similarity index 100% rename from tutorial/T07-contador-prescaler/prescaler.v rename to tutorial/ICESTICK/T07-contador-prescaler/prescaler.v diff --git a/tutorial/T08-register/Makefile b/tutorial/ICESTICK/T08-register/Makefile similarity index 100% rename from tutorial/T08-register/Makefile rename to tutorial/ICESTICK/T08-register/Makefile diff --git a/tutorial/T08-register/Readme.md b/tutorial/ICESTICK/T08-register/Readme.md similarity index 100% rename from tutorial/T08-register/Readme.md rename to tutorial/ICESTICK/T08-register/Readme.md diff --git a/tutorial/T08-register/blink4.pcf b/tutorial/ICESTICK/T08-register/blink4.pcf similarity index 100% rename from tutorial/T08-register/blink4.pcf rename to tutorial/ICESTICK/T08-register/blink4.pcf diff --git a/tutorial/T08-register/blink4.v b/tutorial/ICESTICK/T08-register/blink4.v similarity index 100% rename from tutorial/T08-register/blink4.v rename to tutorial/ICESTICK/T08-register/blink4.v diff --git a/tutorial/T08-register/blink4_tb.gtkw b/tutorial/ICESTICK/T08-register/blink4_tb.gtkw similarity index 100% rename from tutorial/T08-register/blink4_tb.gtkw rename to tutorial/ICESTICK/T08-register/blink4_tb.gtkw diff --git a/tutorial/T08-register/blink4_tb.v b/tutorial/ICESTICK/T08-register/blink4_tb.v similarity index 100% rename from tutorial/T08-register/blink4_tb.v rename to tutorial/ICESTICK/T08-register/blink4_tb.v diff --git a/tutorial/T08-register/images/T08-blink4-iCEstick-1.png b/tutorial/ICESTICK/T08-register/images/T08-blink4-iCEstick-1.png similarity index 100% rename from tutorial/T08-register/images/T08-blink4-iCEstick-1.png rename to tutorial/ICESTICK/T08-register/images/T08-blink4-iCEstick-1.png diff --git a/tutorial/T08-register/images/T08-blink4-sim-1.png b/tutorial/ICESTICK/T08-register/images/T08-blink4-sim-1.png similarity index 100% rename from tutorial/T08-register/images/T08-blink4-sim-1.png rename to tutorial/ICESTICK/T08-register/images/T08-blink4-sim-1.png diff --git a/tutorial/T08-register/images/blink4-1.png b/tutorial/ICESTICK/T08-register/images/blink4-1.png similarity index 100% rename from tutorial/T08-register/images/blink4-1.png rename to tutorial/ICESTICK/T08-register/images/blink4-1.png diff --git a/tutorial/T08-register/images/blink4-1.svg b/tutorial/ICESTICK/T08-register/images/blink4-1.svg similarity index 100% rename from tutorial/T08-register/images/blink4-1.svg rename to tutorial/ICESTICK/T08-register/images/blink4-1.svg diff --git a/tutorial/T08-register/images/blink4-2.png b/tutorial/ICESTICK/T08-register/images/blink4-2.png similarity index 100% rename from tutorial/T08-register/images/blink4-2.png rename to tutorial/ICESTICK/T08-register/images/blink4-2.png diff --git a/tutorial/T08-register/images/blink4-2.svg b/tutorial/ICESTICK/T08-register/images/blink4-2.svg similarity index 100% rename from tutorial/T08-register/images/blink4-2.svg rename to tutorial/ICESTICK/T08-register/images/blink4-2.svg diff --git a/tutorial/T08-register/images/blink4-3.png b/tutorial/ICESTICK/T08-register/images/blink4-3.png similarity index 100% rename from tutorial/T08-register/images/blink4-3.png rename to tutorial/ICESTICK/T08-register/images/blink4-3.png diff --git a/tutorial/T08-register/images/blink4-3.svg b/tutorial/ICESTICK/T08-register/images/blink4-3.svg similarity index 100% rename from tutorial/T08-register/images/blink4-3.svg rename to tutorial/ICESTICK/T08-register/images/blink4-3.svg diff --git a/tutorial/T08-register/images/blink4-4.png b/tutorial/ICESTICK/T08-register/images/blink4-4.png similarity index 100% rename from tutorial/T08-register/images/blink4-4.png rename to tutorial/ICESTICK/T08-register/images/blink4-4.png diff --git a/tutorial/T08-register/images/blink4-4.svg b/tutorial/ICESTICK/T08-register/images/blink4-4.svg similarity index 100% rename from tutorial/T08-register/images/blink4-4.svg rename to tutorial/ICESTICK/T08-register/images/blink4-4.svg diff --git a/tutorial/T08-register/prescaler.v b/tutorial/ICESTICK/T08-register/prescaler.v similarity index 100% rename from tutorial/T08-register/prescaler.v rename to tutorial/ICESTICK/T08-register/prescaler.v diff --git a/tutorial/T09-inicializador/Makefile b/tutorial/ICESTICK/T09-inicializador/Makefile similarity index 100% rename from tutorial/T09-inicializador/Makefile rename to tutorial/ICESTICK/T09-inicializador/Makefile diff --git a/tutorial/T09-inicializador/Readme.md b/tutorial/ICESTICK/T09-inicializador/Readme.md similarity index 100% rename from tutorial/T09-inicializador/Readme.md rename to tutorial/ICESTICK/T09-inicializador/Readme.md diff --git a/tutorial/T09-inicializador/bitstreams/init.bin b/tutorial/ICESTICK/T09-inicializador/bitstreams/init.bin similarity index 100% rename from tutorial/T09-inicializador/bitstreams/init.bin rename to tutorial/ICESTICK/T09-inicializador/bitstreams/init.bin diff --git a/tutorial/T09-inicializador/images/T09-init-iCEstorm-1.png b/tutorial/ICESTICK/T09-inicializador/images/T09-init-iCEstorm-1.png similarity index 100% rename from tutorial/T09-inicializador/images/T09-init-iCEstorm-1.png rename to tutorial/ICESTICK/T09-inicializador/images/T09-init-iCEstorm-1.png diff --git a/tutorial/T09-inicializador/images/T09-init-sim.png b/tutorial/ICESTICK/T09-inicializador/images/T09-init-sim.png similarity index 100% rename from tutorial/T09-inicializador/images/T09-init-sim.png rename to tutorial/ICESTICK/T09-inicializador/images/T09-init-sim.png diff --git a/tutorial/T09-inicializador/images/init-1.png b/tutorial/ICESTICK/T09-inicializador/images/init-1.png similarity index 100% rename from tutorial/T09-inicializador/images/init-1.png rename to tutorial/ICESTICK/T09-inicializador/images/init-1.png diff --git a/tutorial/T09-inicializador/images/init-1.svg b/tutorial/ICESTICK/T09-inicializador/images/init-1.svg similarity index 100% rename from tutorial/T09-inicializador/images/init-1.svg rename to tutorial/ICESTICK/T09-inicializador/images/init-1.svg diff --git a/tutorial/T09-inicializador/images/init-2.png b/tutorial/ICESTICK/T09-inicializador/images/init-2.png similarity index 100% rename from tutorial/T09-inicializador/images/init-2.png rename to tutorial/ICESTICK/T09-inicializador/images/init-2.png diff --git a/tutorial/T09-inicializador/images/init-2.svg b/tutorial/ICESTICK/T09-inicializador/images/init-2.svg similarity index 100% rename from tutorial/T09-inicializador/images/init-2.svg rename to tutorial/ICESTICK/T09-inicializador/images/init-2.svg diff --git a/tutorial/T09-inicializador/images/init-3.png b/tutorial/ICESTICK/T09-inicializador/images/init-3.png similarity index 100% rename from tutorial/T09-inicializador/images/init-3.png rename to tutorial/ICESTICK/T09-inicializador/images/init-3.png diff --git a/tutorial/T09-inicializador/images/init-3.svg b/tutorial/ICESTICK/T09-inicializador/images/init-3.svg similarity index 100% rename from tutorial/T09-inicializador/images/init-3.svg rename to tutorial/ICESTICK/T09-inicializador/images/init-3.svg diff --git a/tutorial/T09-inicializador/images/init-4.png b/tutorial/ICESTICK/T09-inicializador/images/init-4.png similarity index 100% rename from tutorial/T09-inicializador/images/init-4.png rename to tutorial/ICESTICK/T09-inicializador/images/init-4.png diff --git a/tutorial/T09-inicializador/images/init-4.svg b/tutorial/ICESTICK/T09-inicializador/images/init-4.svg similarity index 100% rename from tutorial/T09-inicializador/images/init-4.svg rename to tutorial/ICESTICK/T09-inicializador/images/init-4.svg diff --git a/tutorial/T09-inicializador/init.pcf b/tutorial/ICESTICK/T09-inicializador/init.pcf similarity index 100% rename from tutorial/T09-inicializador/init.pcf rename to tutorial/ICESTICK/T09-inicializador/init.pcf diff --git a/tutorial/T09-inicializador/init.v b/tutorial/ICESTICK/T09-inicializador/init.v similarity index 100% rename from tutorial/T09-inicializador/init.v rename to tutorial/ICESTICK/T09-inicializador/init.v diff --git a/tutorial/T09-inicializador/init_tb.gtkw b/tutorial/ICESTICK/T09-inicializador/init_tb.gtkw similarity index 100% rename from tutorial/T09-inicializador/init_tb.gtkw rename to tutorial/ICESTICK/T09-inicializador/init_tb.gtkw diff --git a/tutorial/T09-inicializador/init_tb.v b/tutorial/ICESTICK/T09-inicializador/init_tb.v similarity index 100% rename from tutorial/T09-inicializador/init_tb.v rename to tutorial/ICESTICK/T09-inicializador/init_tb.v diff --git a/tutorial/T10-shif-register/Makefile b/tutorial/ICESTICK/T10-shif-register/Makefile similarity index 100% rename from tutorial/T10-shif-register/Makefile rename to tutorial/ICESTICK/T10-shif-register/Makefile diff --git a/tutorial/T10-shif-register/Readme.md b/tutorial/ICESTICK/T10-shif-register/Readme.md similarity index 100% rename from tutorial/T10-shif-register/Readme.md rename to tutorial/ICESTICK/T10-shif-register/Readme.md diff --git a/tutorial/T10-shif-register/bitstream/shift4.bin b/tutorial/ICESTICK/T10-shif-register/bitstream/shift4.bin similarity index 100% rename from tutorial/T10-shif-register/bitstream/shift4.bin rename to tutorial/ICESTICK/T10-shif-register/bitstream/shift4.bin diff --git a/tutorial/T10-shif-register/images/T10-shift4-sim-1.png b/tutorial/ICESTICK/T10-shif-register/images/T10-shift4-sim-1.png similarity index 100% rename from tutorial/T10-shif-register/images/T10-shift4-sim-1.png rename to tutorial/ICESTICK/T10-shif-register/images/T10-shift4-sim-1.png diff --git a/tutorial/T10-shif-register/images/shift4-1.png b/tutorial/ICESTICK/T10-shif-register/images/shift4-1.png similarity index 100% rename from tutorial/T10-shif-register/images/shift4-1.png rename to tutorial/ICESTICK/T10-shif-register/images/shift4-1.png diff --git a/tutorial/T10-shif-register/images/shift4-1.svg b/tutorial/ICESTICK/T10-shif-register/images/shift4-1.svg similarity index 100% rename from tutorial/T10-shif-register/images/shift4-1.svg rename to tutorial/ICESTICK/T10-shif-register/images/shift4-1.svg diff --git a/tutorial/T10-shif-register/images/shift4-2.png b/tutorial/ICESTICK/T10-shif-register/images/shift4-2.png similarity index 100% rename from tutorial/T10-shif-register/images/shift4-2.png rename to tutorial/ICESTICK/T10-shif-register/images/shift4-2.png diff --git a/tutorial/T10-shif-register/images/shift4-2.svg b/tutorial/ICESTICK/T10-shif-register/images/shift4-2.svg similarity index 100% rename from tutorial/T10-shif-register/images/shift4-2.svg rename to tutorial/ICESTICK/T10-shif-register/images/shift4-2.svg diff --git a/tutorial/T10-shif-register/images/shift4-3.png b/tutorial/ICESTICK/T10-shif-register/images/shift4-3.png similarity index 100% rename from tutorial/T10-shif-register/images/shift4-3.png rename to tutorial/ICESTICK/T10-shif-register/images/shift4-3.png diff --git a/tutorial/T10-shif-register/images/shift4-3.svg b/tutorial/ICESTICK/T10-shif-register/images/shift4-3.svg similarity index 100% rename from tutorial/T10-shif-register/images/shift4-3.svg rename to tutorial/ICESTICK/T10-shif-register/images/shift4-3.svg diff --git a/tutorial/T10-shif-register/images/shift4-4.png b/tutorial/ICESTICK/T10-shif-register/images/shift4-4.png similarity index 100% rename from tutorial/T10-shif-register/images/shift4-4.png rename to tutorial/ICESTICK/T10-shif-register/images/shift4-4.png diff --git a/tutorial/T10-shif-register/images/shift4-4.svg b/tutorial/ICESTICK/T10-shif-register/images/shift4-4.svg similarity index 100% rename from tutorial/T10-shif-register/images/shift4-4.svg rename to tutorial/ICESTICK/T10-shif-register/images/shift4-4.svg diff --git a/tutorial/T10-shif-register/prescaler.v b/tutorial/ICESTICK/T10-shif-register/prescaler.v similarity index 100% rename from tutorial/T10-shif-register/prescaler.v rename to tutorial/ICESTICK/T10-shif-register/prescaler.v diff --git a/tutorial/T10-shif-register/shift4.pcf b/tutorial/ICESTICK/T10-shif-register/shift4.pcf similarity index 100% rename from tutorial/T10-shif-register/shift4.pcf rename to tutorial/ICESTICK/T10-shif-register/shift4.pcf diff --git a/tutorial/T10-shif-register/shift4.v b/tutorial/ICESTICK/T10-shif-register/shift4.v similarity index 100% rename from tutorial/T10-shif-register/shift4.v rename to tutorial/ICESTICK/T10-shif-register/shift4.v diff --git a/tutorial/T10-shif-register/shift4_tb.gtkw b/tutorial/ICESTICK/T10-shif-register/shift4_tb.gtkw similarity index 100% rename from tutorial/T10-shif-register/shift4_tb.gtkw rename to tutorial/ICESTICK/T10-shif-register/shift4_tb.gtkw diff --git a/tutorial/T10-shif-register/shift4_tb.v b/tutorial/ICESTICK/T10-shif-register/shift4_tb.v similarity index 100% rename from tutorial/T10-shif-register/shift4_tb.v rename to tutorial/ICESTICK/T10-shif-register/shift4_tb.v diff --git a/tutorial/T11-mux-2-1/Makefile b/tutorial/ICESTICK/T11-mux-2-1/Makefile similarity index 100% rename from tutorial/T11-mux-2-1/Makefile rename to tutorial/ICESTICK/T11-mux-2-1/Makefile diff --git a/tutorial/T11-mux-2-1/Readme.md b/tutorial/ICESTICK/T11-mux-2-1/Readme.md similarity index 100% rename from tutorial/T11-mux-2-1/Readme.md rename to tutorial/ICESTICK/T11-mux-2-1/Readme.md diff --git a/tutorial/T11-mux-2-1/bitstreams/mux2.bin b/tutorial/ICESTICK/T11-mux-2-1/bitstreams/mux2.bin similarity index 100% rename from tutorial/T11-mux-2-1/bitstreams/mux2.bin rename to tutorial/ICESTICK/T11-mux-2-1/bitstreams/mux2.bin diff --git a/tutorial/T11-mux-2-1/images/T11-mux2-simulation.png b/tutorial/ICESTICK/T11-mux-2-1/images/T11-mux2-simulation.png similarity index 100% rename from tutorial/T11-mux-2-1/images/T11-mux2-simulation.png rename to tutorial/ICESTICK/T11-mux-2-1/images/T11-mux2-simulation.png diff --git a/tutorial/T11-mux-2-1/images/mux2-1.png b/tutorial/ICESTICK/T11-mux-2-1/images/mux2-1.png similarity index 100% rename from tutorial/T11-mux-2-1/images/mux2-1.png rename to tutorial/ICESTICK/T11-mux-2-1/images/mux2-1.png diff --git a/tutorial/T11-mux-2-1/images/mux2-1.svg b/tutorial/ICESTICK/T11-mux-2-1/images/mux2-1.svg similarity index 100% rename from tutorial/T11-mux-2-1/images/mux2-1.svg rename to tutorial/ICESTICK/T11-mux-2-1/images/mux2-1.svg diff --git a/tutorial/T11-mux-2-1/images/mux2-2.png b/tutorial/ICESTICK/T11-mux-2-1/images/mux2-2.png similarity index 100% rename from tutorial/T11-mux-2-1/images/mux2-2.png rename to tutorial/ICESTICK/T11-mux-2-1/images/mux2-2.png diff --git a/tutorial/T11-mux-2-1/images/mux2-2.svg b/tutorial/ICESTICK/T11-mux-2-1/images/mux2-2.svg similarity index 100% rename from tutorial/T11-mux-2-1/images/mux2-2.svg rename to tutorial/ICESTICK/T11-mux-2-1/images/mux2-2.svg diff --git a/tutorial/T11-mux-2-1/images/mux2-3.png b/tutorial/ICESTICK/T11-mux-2-1/images/mux2-3.png similarity index 100% rename from tutorial/T11-mux-2-1/images/mux2-3.png rename to tutorial/ICESTICK/T11-mux-2-1/images/mux2-3.png diff --git a/tutorial/T11-mux-2-1/images/mux2-3.svg b/tutorial/ICESTICK/T11-mux-2-1/images/mux2-3.svg similarity index 100% rename from tutorial/T11-mux-2-1/images/mux2-3.svg rename to tutorial/ICESTICK/T11-mux-2-1/images/mux2-3.svg diff --git a/tutorial/T11-mux-2-1/images/mux2-4.png b/tutorial/ICESTICK/T11-mux-2-1/images/mux2-4.png similarity index 100% rename from tutorial/T11-mux-2-1/images/mux2-4.png rename to tutorial/ICESTICK/T11-mux-2-1/images/mux2-4.png diff --git a/tutorial/T11-mux-2-1/images/mux2-4.svg b/tutorial/ICESTICK/T11-mux-2-1/images/mux2-4.svg similarity index 100% rename from tutorial/T11-mux-2-1/images/mux2-4.svg rename to tutorial/ICESTICK/T11-mux-2-1/images/mux2-4.svg diff --git a/tutorial/T11-mux-2-1/mux2.pcf b/tutorial/ICESTICK/T11-mux-2-1/mux2.pcf similarity index 100% rename from tutorial/T11-mux-2-1/mux2.pcf rename to tutorial/ICESTICK/T11-mux-2-1/mux2.pcf diff --git a/tutorial/T11-mux-2-1/mux2.v b/tutorial/ICESTICK/T11-mux-2-1/mux2.v similarity index 100% rename from tutorial/T11-mux-2-1/mux2.v rename to tutorial/ICESTICK/T11-mux-2-1/mux2.v diff --git a/tutorial/T11-mux-2-1/mux2_tb.gtkw b/tutorial/ICESTICK/T11-mux-2-1/mux2_tb.gtkw similarity index 100% rename from tutorial/T11-mux-2-1/mux2_tb.gtkw rename to tutorial/ICESTICK/T11-mux-2-1/mux2_tb.gtkw diff --git a/tutorial/T11-mux-2-1/mux2_tb.v b/tutorial/ICESTICK/T11-mux-2-1/mux2_tb.v similarity index 100% rename from tutorial/T11-mux-2-1/mux2_tb.v rename to tutorial/ICESTICK/T11-mux-2-1/mux2_tb.v diff --git a/tutorial/T11-mux-2-1/prescaler.v b/tutorial/ICESTICK/T11-mux-2-1/prescaler.v similarity index 100% rename from tutorial/T11-mux-2-1/prescaler.v rename to tutorial/ICESTICK/T11-mux-2-1/prescaler.v diff --git a/tutorial/T12-mux-4-1/Makefile b/tutorial/ICESTICK/T12-mux-4-1/Makefile similarity index 100% rename from tutorial/T12-mux-4-1/Makefile rename to tutorial/ICESTICK/T12-mux-4-1/Makefile diff --git a/tutorial/T12-mux-4-1/Readme.md b/tutorial/ICESTICK/T12-mux-4-1/Readme.md similarity index 100% rename from tutorial/T12-mux-4-1/Readme.md rename to tutorial/ICESTICK/T12-mux-4-1/Readme.md diff --git a/tutorial/T12-mux-4-1/bitstream/mux4.bin b/tutorial/ICESTICK/T12-mux-4-1/bitstream/mux4.bin similarity index 100% rename from tutorial/T12-mux-4-1/bitstream/mux4.bin rename to tutorial/ICESTICK/T12-mux-4-1/bitstream/mux4.bin diff --git a/tutorial/T12-mux-4-1/images/T12-mux4-sim-1.png b/tutorial/ICESTICK/T12-mux-4-1/images/T12-mux4-sim-1.png similarity index 100% rename from tutorial/T12-mux-4-1/images/T12-mux4-sim-1.png rename to tutorial/ICESTICK/T12-mux-4-1/images/T12-mux4-sim-1.png diff --git a/tutorial/T12-mux-4-1/images/mux4-1.png b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-1.png similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-1.png rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-1.png diff --git a/tutorial/T12-mux-4-1/images/mux4-1.svg b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-1.svg similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-1.svg rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-1.svg diff --git a/tutorial/T12-mux-4-1/images/mux4-2.png b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-2.png similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-2.png rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-2.png diff --git a/tutorial/T12-mux-4-1/images/mux4-2.svg b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-2.svg similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-2.svg rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-2.svg diff --git a/tutorial/T12-mux-4-1/images/mux4-3.png b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-3.png similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-3.png rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-3.png diff --git a/tutorial/T12-mux-4-1/images/mux4-3.svg b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-3.svg similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-3.svg rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-3.svg diff --git a/tutorial/T12-mux-4-1/images/mux4-4.png b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-4.png similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-4.png rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-4.png diff --git a/tutorial/T12-mux-4-1/images/mux4-4.svg b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-4.svg similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-4.svg rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-4.svg diff --git a/tutorial/T12-mux-4-1/images/mux4-5.png b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-5.png similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-5.png rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-5.png diff --git a/tutorial/T12-mux-4-1/images/mux4-5.svg b/tutorial/ICESTICK/T12-mux-4-1/images/mux4-5.svg similarity index 100% rename from tutorial/T12-mux-4-1/images/mux4-5.svg rename to tutorial/ICESTICK/T12-mux-4-1/images/mux4-5.svg diff --git a/tutorial/T12-mux-4-1/mux4.pcf b/tutorial/ICESTICK/T12-mux-4-1/mux4.pcf similarity index 100% rename from tutorial/T12-mux-4-1/mux4.pcf rename to tutorial/ICESTICK/T12-mux-4-1/mux4.pcf diff --git a/tutorial/T12-mux-4-1/mux4.v b/tutorial/ICESTICK/T12-mux-4-1/mux4.v similarity index 100% rename from tutorial/T12-mux-4-1/mux4.v rename to tutorial/ICESTICK/T12-mux-4-1/mux4.v diff --git a/tutorial/T12-mux-4-1/mux4_tb.gtkw b/tutorial/ICESTICK/T12-mux-4-1/mux4_tb.gtkw similarity index 100% rename from tutorial/T12-mux-4-1/mux4_tb.gtkw rename to tutorial/ICESTICK/T12-mux-4-1/mux4_tb.gtkw diff --git a/tutorial/T12-mux-4-1/mux4_tb.v b/tutorial/ICESTICK/T12-mux-4-1/mux4_tb.v similarity index 100% rename from tutorial/T12-mux-4-1/mux4_tb.v rename to tutorial/ICESTICK/T12-mux-4-1/mux4_tb.v diff --git a/tutorial/T12-mux-4-1/prescaler.v b/tutorial/ICESTICK/T12-mux-4-1/prescaler.v similarity index 100% rename from tutorial/T12-mux-4-1/prescaler.v rename to tutorial/ICESTICK/T12-mux-4-1/prescaler.v diff --git a/tutorial/T13-reg-init/Makefile b/tutorial/ICESTICK/T13-reg-init/Makefile similarity index 100% rename from tutorial/T13-reg-init/Makefile rename to tutorial/ICESTICK/T13-reg-init/Makefile diff --git a/tutorial/T13-reg-init/Readme.md b/tutorial/ICESTICK/T13-reg-init/Readme.md similarity index 100% rename from tutorial/T13-reg-init/Readme.md rename to tutorial/ICESTICK/T13-reg-init/Readme.md diff --git a/tutorial/T13-reg-init/bitstream/reginit.bin b/tutorial/ICESTICK/T13-reg-init/bitstream/reginit.bin similarity index 100% rename from tutorial/T13-reg-init/bitstream/reginit.bin rename to tutorial/ICESTICK/T13-reg-init/bitstream/reginit.bin diff --git a/tutorial/T13-reg-init/images/T13-reginit-sim.png b/tutorial/ICESTICK/T13-reg-init/images/T13-reginit-sim.png similarity index 100% rename from tutorial/T13-reg-init/images/T13-reginit-sim.png rename to tutorial/ICESTICK/T13-reg-init/images/T13-reginit-sim.png diff --git a/tutorial/T13-reg-init/images/reginit-1.png b/tutorial/ICESTICK/T13-reg-init/images/reginit-1.png similarity index 100% rename from tutorial/T13-reg-init/images/reginit-1.png rename to tutorial/ICESTICK/T13-reg-init/images/reginit-1.png diff --git a/tutorial/T13-reg-init/images/reginit-1.svg b/tutorial/ICESTICK/T13-reg-init/images/reginit-1.svg similarity index 100% rename from tutorial/T13-reg-init/images/reginit-1.svg rename to tutorial/ICESTICK/T13-reg-init/images/reginit-1.svg diff --git a/tutorial/T13-reg-init/images/reginit-2.png b/tutorial/ICESTICK/T13-reg-init/images/reginit-2.png similarity index 100% rename from tutorial/T13-reg-init/images/reginit-2.png rename to tutorial/ICESTICK/T13-reg-init/images/reginit-2.png diff --git a/tutorial/T13-reg-init/images/reginit-2.svg b/tutorial/ICESTICK/T13-reg-init/images/reginit-2.svg similarity index 100% rename from tutorial/T13-reg-init/images/reginit-2.svg rename to tutorial/ICESTICK/T13-reg-init/images/reginit-2.svg diff --git a/tutorial/T13-reg-init/images/reginit-3.png b/tutorial/ICESTICK/T13-reg-init/images/reginit-3.png similarity index 100% rename from tutorial/T13-reg-init/images/reginit-3.png rename to tutorial/ICESTICK/T13-reg-init/images/reginit-3.png diff --git a/tutorial/T13-reg-init/images/reginit-3.svg b/tutorial/ICESTICK/T13-reg-init/images/reginit-3.svg similarity index 100% rename from tutorial/T13-reg-init/images/reginit-3.svg rename to tutorial/ICESTICK/T13-reg-init/images/reginit-3.svg diff --git a/tutorial/T13-reg-init/images/reginit-4.png b/tutorial/ICESTICK/T13-reg-init/images/reginit-4.png similarity index 100% rename from tutorial/T13-reg-init/images/reginit-4.png rename to tutorial/ICESTICK/T13-reg-init/images/reginit-4.png diff --git a/tutorial/T13-reg-init/images/reginit-4.svg b/tutorial/ICESTICK/T13-reg-init/images/reginit-4.svg similarity index 100% rename from tutorial/T13-reg-init/images/reginit-4.svg rename to tutorial/ICESTICK/T13-reg-init/images/reginit-4.svg diff --git a/tutorial/T13-reg-init/images/reginit-5.png b/tutorial/ICESTICK/T13-reg-init/images/reginit-5.png similarity index 100% rename from tutorial/T13-reg-init/images/reginit-5.png rename to tutorial/ICESTICK/T13-reg-init/images/reginit-5.png diff --git a/tutorial/T13-reg-init/images/reginit-5.svg b/tutorial/ICESTICK/T13-reg-init/images/reginit-5.svg similarity index 100% rename from tutorial/T13-reg-init/images/reginit-5.svg rename to tutorial/ICESTICK/T13-reg-init/images/reginit-5.svg diff --git a/tutorial/T13-reg-init/images/reginit-6.png b/tutorial/ICESTICK/T13-reg-init/images/reginit-6.png similarity index 100% rename from tutorial/T13-reg-init/images/reginit-6.png rename to tutorial/ICESTICK/T13-reg-init/images/reginit-6.png diff --git a/tutorial/T13-reg-init/images/reginit-6.svg b/tutorial/ICESTICK/T13-reg-init/images/reginit-6.svg similarity index 100% rename from tutorial/T13-reg-init/images/reginit-6.svg rename to tutorial/ICESTICK/T13-reg-init/images/reginit-6.svg diff --git a/tutorial/T13-reg-init/prescaler.v b/tutorial/ICESTICK/T13-reg-init/prescaler.v similarity index 100% rename from tutorial/T13-reg-init/prescaler.v rename to tutorial/ICESTICK/T13-reg-init/prescaler.v diff --git a/tutorial/T13-reg-init/reginit.pcf b/tutorial/ICESTICK/T13-reg-init/reginit.pcf similarity index 100% rename from tutorial/T13-reg-init/reginit.pcf rename to tutorial/ICESTICK/T13-reg-init/reginit.pcf diff --git a/tutorial/T13-reg-init/reginit.v b/tutorial/ICESTICK/T13-reg-init/reginit.v similarity index 100% rename from tutorial/T13-reg-init/reginit.v rename to tutorial/ICESTICK/T13-reg-init/reginit.v diff --git a/tutorial/T13-reg-init/reginit_tb.gtkw b/tutorial/ICESTICK/T13-reg-init/reginit_tb.gtkw similarity index 100% rename from tutorial/T13-reg-init/reginit_tb.gtkw rename to tutorial/ICESTICK/T13-reg-init/reginit_tb.gtkw diff --git a/tutorial/T13-reg-init/reginit_tb.v b/tutorial/ICESTICK/T13-reg-init/reginit_tb.v similarity index 100% rename from tutorial/T13-reg-init/reginit_tb.v rename to tutorial/ICESTICK/T13-reg-init/reginit_tb.v diff --git a/tutorial/T14-regreset/Makefile b/tutorial/ICESTICK/T14-regreset/Makefile similarity index 100% rename from tutorial/T14-regreset/Makefile rename to tutorial/ICESTICK/T14-regreset/Makefile diff --git a/tutorial/T14-regreset/Readme.md b/tutorial/ICESTICK/T14-regreset/Readme.md similarity index 100% rename from tutorial/T14-regreset/Readme.md rename to tutorial/ICESTICK/T14-regreset/Readme.md diff --git a/tutorial/T14-regreset/bitstream/regreset.bin b/tutorial/ICESTICK/T14-regreset/bitstream/regreset.bin similarity index 100% rename from tutorial/T14-regreset/bitstream/regreset.bin rename to tutorial/ICESTICK/T14-regreset/bitstream/regreset.bin diff --git a/tutorial/T14-regreset/images/T14-regreset-leds-seq1.png b/tutorial/ICESTICK/T14-regreset/images/T14-regreset-leds-seq1.png similarity index 100% rename from tutorial/T14-regreset/images/T14-regreset-leds-seq1.png rename to tutorial/ICESTICK/T14-regreset/images/T14-regreset-leds-seq1.png diff --git a/tutorial/T14-regreset/images/T14-regreset-leds-seq2.png b/tutorial/ICESTICK/T14-regreset/images/T14-regreset-leds-seq2.png similarity index 100% rename from tutorial/T14-regreset/images/T14-regreset-leds-seq2.png rename to tutorial/ICESTICK/T14-regreset/images/T14-regreset-leds-seq2.png diff --git a/tutorial/T14-regreset/images/T14-regreset-sim-1.png b/tutorial/ICESTICK/T14-regreset/images/T14-regreset-sim-1.png similarity index 100% rename from tutorial/T14-regreset/images/T14-regreset-sim-1.png rename to tutorial/ICESTICK/T14-regreset/images/T14-regreset-sim-1.png diff --git a/tutorial/T14-regreset/images/regreset-1.png b/tutorial/ICESTICK/T14-regreset/images/regreset-1.png similarity index 100% rename from tutorial/T14-regreset/images/regreset-1.png rename to tutorial/ICESTICK/T14-regreset/images/regreset-1.png diff --git a/tutorial/T14-regreset/images/regreset-1.svg b/tutorial/ICESTICK/T14-regreset/images/regreset-1.svg similarity index 100% rename from tutorial/T14-regreset/images/regreset-1.svg rename to tutorial/ICESTICK/T14-regreset/images/regreset-1.svg diff --git a/tutorial/T14-regreset/images/regreset-2.png b/tutorial/ICESTICK/T14-regreset/images/regreset-2.png similarity index 100% rename from tutorial/T14-regreset/images/regreset-2.png rename to tutorial/ICESTICK/T14-regreset/images/regreset-2.png diff --git a/tutorial/T14-regreset/images/regreset-2.svg b/tutorial/ICESTICK/T14-regreset/images/regreset-2.svg similarity index 100% rename from tutorial/T14-regreset/images/regreset-2.svg rename to tutorial/ICESTICK/T14-regreset/images/regreset-2.svg diff --git a/tutorial/T14-regreset/images/regreset-3.png b/tutorial/ICESTICK/T14-regreset/images/regreset-3.png similarity index 100% rename from tutorial/T14-regreset/images/regreset-3.png rename to tutorial/ICESTICK/T14-regreset/images/regreset-3.png diff --git a/tutorial/T14-regreset/images/regreset-3.svg b/tutorial/ICESTICK/T14-regreset/images/regreset-3.svg similarity index 100% rename from tutorial/T14-regreset/images/regreset-3.svg rename to tutorial/ICESTICK/T14-regreset/images/regreset-3.svg diff --git a/tutorial/T14-regreset/images/regreset-4.png b/tutorial/ICESTICK/T14-regreset/images/regreset-4.png similarity index 100% rename from tutorial/T14-regreset/images/regreset-4.png rename to tutorial/ICESTICK/T14-regreset/images/regreset-4.png diff --git a/tutorial/T14-regreset/images/regreset-4.svg b/tutorial/ICESTICK/T14-regreset/images/regreset-4.svg similarity index 100% rename from tutorial/T14-regreset/images/regreset-4.svg rename to tutorial/ICESTICK/T14-regreset/images/regreset-4.svg diff --git a/tutorial/T14-regreset/prescaler.v b/tutorial/ICESTICK/T14-regreset/prescaler.v similarity index 100% rename from tutorial/T14-regreset/prescaler.v rename to tutorial/ICESTICK/T14-regreset/prescaler.v diff --git a/tutorial/T14-regreset/register.v b/tutorial/ICESTICK/T14-regreset/register.v similarity index 100% rename from tutorial/T14-regreset/register.v rename to tutorial/ICESTICK/T14-regreset/register.v diff --git a/tutorial/T14-regreset/regreset.pcf b/tutorial/ICESTICK/T14-regreset/regreset.pcf similarity index 100% rename from tutorial/T14-regreset/regreset.pcf rename to tutorial/ICESTICK/T14-regreset/regreset.pcf diff --git a/tutorial/T14-regreset/regreset.v b/tutorial/ICESTICK/T14-regreset/regreset.v similarity index 100% rename from tutorial/T14-regreset/regreset.v rename to tutorial/ICESTICK/T14-regreset/regreset.v diff --git a/tutorial/T14-regreset/regreset_tb.gtkw b/tutorial/ICESTICK/T14-regreset/regreset_tb.gtkw similarity index 100% rename from tutorial/T14-regreset/regreset_tb.gtkw rename to tutorial/ICESTICK/T14-regreset/regreset_tb.gtkw diff --git a/tutorial/T14-regreset/regreset_tb.v b/tutorial/ICESTICK/T14-regreset/regreset_tb.v similarity index 100% rename from tutorial/T14-regreset/regreset_tb.v rename to tutorial/ICESTICK/T14-regreset/regreset_tb.v diff --git a/tutorial/T15-divisor/Makefile b/tutorial/ICESTICK/T15-divisor/Makefile similarity index 100% rename from tutorial/T15-divisor/Makefile rename to tutorial/ICESTICK/T15-divisor/Makefile diff --git a/tutorial/T15-divisor/Readme.md b/tutorial/ICESTICK/T15-divisor/Readme.md similarity index 100% rename from tutorial/T15-divisor/Readme.md rename to tutorial/ICESTICK/T15-divisor/Readme.md diff --git a/tutorial/T15-divisor/bitstreams/div3.bin b/tutorial/ICESTICK/T15-divisor/bitstreams/div3.bin similarity index 100% rename from tutorial/T15-divisor/bitstreams/div3.bin rename to tutorial/ICESTICK/T15-divisor/bitstreams/div3.bin diff --git a/tutorial/T15-divisor/bitstreams/divM.bin b/tutorial/ICESTICK/T15-divisor/bitstreams/divM.bin similarity index 100% rename from tutorial/T15-divisor/bitstreams/divM.bin rename to tutorial/ICESTICK/T15-divisor/bitstreams/divM.bin diff --git a/tutorial/T15-divisor/div3.pcf b/tutorial/ICESTICK/T15-divisor/div3.pcf similarity index 100% rename from tutorial/T15-divisor/div3.pcf rename to tutorial/ICESTICK/T15-divisor/div3.pcf diff --git a/tutorial/T15-divisor/div3.v b/tutorial/ICESTICK/T15-divisor/div3.v similarity index 100% rename from tutorial/T15-divisor/div3.v rename to tutorial/ICESTICK/T15-divisor/div3.v diff --git a/tutorial/T15-divisor/div3_tb.gtkw b/tutorial/ICESTICK/T15-divisor/div3_tb.gtkw similarity index 100% rename from tutorial/T15-divisor/div3_tb.gtkw rename to tutorial/ICESTICK/T15-divisor/div3_tb.gtkw diff --git a/tutorial/T15-divisor/div3_tb.v b/tutorial/ICESTICK/T15-divisor/div3_tb.v similarity index 100% rename from tutorial/T15-divisor/div3_tb.v rename to tutorial/ICESTICK/T15-divisor/div3_tb.v diff --git a/tutorial/T15-divisor/divM.pcf b/tutorial/ICESTICK/T15-divisor/divM.pcf similarity index 100% rename from tutorial/T15-divisor/divM.pcf rename to tutorial/ICESTICK/T15-divisor/divM.pcf diff --git a/tutorial/T15-divisor/divM.v b/tutorial/ICESTICK/T15-divisor/divM.v similarity index 100% rename from tutorial/T15-divisor/divM.v rename to tutorial/ICESTICK/T15-divisor/divM.v diff --git a/tutorial/T15-divisor/divM_tb.gtkw b/tutorial/ICESTICK/T15-divisor/divM_tb.gtkw similarity index 100% rename from tutorial/T15-divisor/divM_tb.gtkw rename to tutorial/ICESTICK/T15-divisor/divM_tb.gtkw diff --git a/tutorial/T15-divisor/divM_tb.v b/tutorial/ICESTICK/T15-divisor/divM_tb.v similarity index 100% rename from tutorial/T15-divisor/divM_tb.v rename to tutorial/ICESTICK/T15-divisor/divM_tb.v diff --git a/tutorial/T15-divisor/images/div3-sintesis.png b/tutorial/ICESTICK/T15-divisor/images/div3-sintesis.png similarity index 100% rename from tutorial/T15-divisor/images/div3-sintesis.png rename to tutorial/ICESTICK/T15-divisor/images/div3-sintesis.png diff --git a/tutorial/T15-divisor/images/div3-sintesis.svg b/tutorial/ICESTICK/T15-divisor/images/div3-sintesis.svg similarity index 100% rename from tutorial/T15-divisor/images/div3-sintesis.svg rename to tutorial/ICESTICK/T15-divisor/images/div3-sintesis.svg diff --git a/tutorial/T15-divisor/images/div3_sim.png b/tutorial/ICESTICK/T15-divisor/images/div3_sim.png similarity index 100% rename from tutorial/T15-divisor/images/div3_sim.png rename to tutorial/ICESTICK/T15-divisor/images/div3_sim.png diff --git a/tutorial/T15-divisor/images/div3_tb.png b/tutorial/ICESTICK/T15-divisor/images/div3_tb.png similarity index 100% rename from tutorial/T15-divisor/images/div3_tb.png rename to tutorial/ICESTICK/T15-divisor/images/div3_tb.png diff --git a/tutorial/T15-divisor/images/div3_tb.svg b/tutorial/ICESTICK/T15-divisor/images/div3_tb.svg similarity index 100% rename from tutorial/T15-divisor/images/div3_tb.svg rename to tutorial/ICESTICK/T15-divisor/images/div3_tb.svg diff --git a/tutorial/T15-divisor/images/divM-sintesis.png b/tutorial/ICESTICK/T15-divisor/images/divM-sintesis.png similarity index 100% rename from tutorial/T15-divisor/images/divM-sintesis.png rename to tutorial/ICESTICK/T15-divisor/images/divM-sintesis.png diff --git a/tutorial/T15-divisor/images/divM-sintesis.svg b/tutorial/ICESTICK/T15-divisor/images/divM-sintesis.svg similarity index 100% rename from tutorial/T15-divisor/images/divM-sintesis.svg rename to tutorial/ICESTICK/T15-divisor/images/divM-sintesis.svg diff --git a/tutorial/T15-divisor/images/divM_sim_M5.png b/tutorial/ICESTICK/T15-divisor/images/divM_sim_M5.png similarity index 100% rename from tutorial/T15-divisor/images/divM_sim_M5.png rename to tutorial/ICESTICK/T15-divisor/images/divM_sim_M5.png diff --git a/tutorial/T15-divisor/images/divM_sim_M7.png b/tutorial/ICESTICK/T15-divisor/images/divM_sim_M7.png similarity index 100% rename from tutorial/T15-divisor/images/divM_sim_M7.png rename to tutorial/ICESTICK/T15-divisor/images/divM_sim_M7.png diff --git a/tutorial/T15-divisor/images/divisor-1.png b/tutorial/ICESTICK/T15-divisor/images/divisor-1.png similarity index 100% rename from tutorial/T15-divisor/images/divisor-1.png rename to tutorial/ICESTICK/T15-divisor/images/divisor-1.png diff --git a/tutorial/T15-divisor/images/divisor-1.svg b/tutorial/ICESTICK/T15-divisor/images/divisor-1.svg similarity index 100% rename from tutorial/T15-divisor/images/divisor-1.svg rename to tutorial/ICESTICK/T15-divisor/images/divisor-1.svg diff --git a/tutorial/T15-divisor/images/divisor-2.png b/tutorial/ICESTICK/T15-divisor/images/divisor-2.png similarity index 100% rename from tutorial/T15-divisor/images/divisor-2.png rename to tutorial/ICESTICK/T15-divisor/images/divisor-2.png diff --git a/tutorial/T15-divisor/images/divisor-2.svg b/tutorial/ICESTICK/T15-divisor/images/divisor-2.svg similarity index 100% rename from tutorial/T15-divisor/images/divisor-2.svg rename to tutorial/ICESTICK/T15-divisor/images/divisor-2.svg diff --git a/tutorial/T15-divisor/images/divisor-3.png b/tutorial/ICESTICK/T15-divisor/images/divisor-3.png similarity index 100% rename from tutorial/T15-divisor/images/divisor-3.png rename to tutorial/ICESTICK/T15-divisor/images/divisor-3.png diff --git a/tutorial/T15-divisor/images/divisor-3.svg b/tutorial/ICESTICK/T15-divisor/images/divisor-3.svg similarity index 100% rename from tutorial/T15-divisor/images/divisor-3.svg rename to tutorial/ICESTICK/T15-divisor/images/divisor-3.svg diff --git a/tutorial/T15-divisor/images/divisor-4.png b/tutorial/ICESTICK/T15-divisor/images/divisor-4.png similarity index 100% rename from tutorial/T15-divisor/images/divisor-4.png rename to tutorial/ICESTICK/T15-divisor/images/divisor-4.png diff --git a/tutorial/T15-divisor/images/divisor-4.svg b/tutorial/ICESTICK/T15-divisor/images/divisor-4.svg similarity index 100% rename from tutorial/T15-divisor/images/divisor-4.svg rename to tutorial/ICESTICK/T15-divisor/images/divisor-4.svg diff --git a/tutorial/T16-countsec/Makefile b/tutorial/ICESTICK/T16-countsec/Makefile similarity index 100% rename from tutorial/T16-countsec/Makefile rename to tutorial/ICESTICK/T16-countsec/Makefile diff --git a/tutorial/T16-countsec/Readme.md b/tutorial/ICESTICK/T16-countsec/Readme.md similarity index 100% rename from tutorial/T16-countsec/Readme.md rename to tutorial/ICESTICK/T16-countsec/Readme.md diff --git a/tutorial/T16-countsec/bitstreams/countsec.bin b/tutorial/ICESTICK/T16-countsec/bitstreams/countsec.bin similarity index 100% rename from tutorial/T16-countsec/bitstreams/countsec.bin rename to tutorial/ICESTICK/T16-countsec/bitstreams/countsec.bin diff --git a/tutorial/T16-countsec/countsec.pcf b/tutorial/ICESTICK/T16-countsec/countsec.pcf similarity index 100% rename from tutorial/T16-countsec/countsec.pcf rename to tutorial/ICESTICK/T16-countsec/countsec.pcf diff --git a/tutorial/T16-countsec/countsec.v b/tutorial/ICESTICK/T16-countsec/countsec.v similarity index 100% rename from tutorial/T16-countsec/countsec.v rename to tutorial/ICESTICK/T16-countsec/countsec.v diff --git a/tutorial/T16-countsec/countsec_tb.gtkw b/tutorial/ICESTICK/T16-countsec/countsec_tb.gtkw similarity index 100% rename from tutorial/T16-countsec/countsec_tb.gtkw rename to tutorial/ICESTICK/T16-countsec/countsec_tb.gtkw diff --git a/tutorial/T16-countsec/countsec_tb.v b/tutorial/ICESTICK/T16-countsec/countsec_tb.v similarity index 100% rename from tutorial/T16-countsec/countsec_tb.v rename to tutorial/ICESTICK/T16-countsec/countsec_tb.v diff --git a/tutorial/T16-countsec/divider.v b/tutorial/ICESTICK/T16-countsec/divider.v similarity index 100% rename from tutorial/T16-countsec/divider.v rename to tutorial/ICESTICK/T16-countsec/divider.v diff --git a/tutorial/T16-countsec/divider.vh b/tutorial/ICESTICK/T16-countsec/divider.vh similarity index 100% rename from tutorial/T16-countsec/divider.vh rename to tutorial/ICESTICK/T16-countsec/divider.vh diff --git a/tutorial/T16-countsec/images/T16-countsec-sim-1.png b/tutorial/ICESTICK/T16-countsec/images/T16-countsec-sim-1.png similarity index 100% rename from tutorial/T16-countsec/images/T16-countsec-sim-1.png rename to tutorial/ICESTICK/T16-countsec/images/T16-countsec-sim-1.png diff --git a/tutorial/T16-countsec/images/countsec-1.png b/tutorial/ICESTICK/T16-countsec/images/countsec-1.png similarity index 100% rename from tutorial/T16-countsec/images/countsec-1.png rename to tutorial/ICESTICK/T16-countsec/images/countsec-1.png diff --git a/tutorial/T16-countsec/images/countsec-1.svg b/tutorial/ICESTICK/T16-countsec/images/countsec-1.svg similarity index 100% rename from tutorial/T16-countsec/images/countsec-1.svg rename to tutorial/ICESTICK/T16-countsec/images/countsec-1.svg diff --git a/tutorial/T16-countsec/images/countsec-2.png b/tutorial/ICESTICK/T16-countsec/images/countsec-2.png similarity index 100% rename from tutorial/T16-countsec/images/countsec-2.png rename to tutorial/ICESTICK/T16-countsec/images/countsec-2.png diff --git a/tutorial/T16-countsec/images/countsec-2.svg b/tutorial/ICESTICK/T16-countsec/images/countsec-2.svg similarity index 100% rename from tutorial/T16-countsec/images/countsec-2.svg rename to tutorial/ICESTICK/T16-countsec/images/countsec-2.svg diff --git a/tutorial/T17-tones/Makefile b/tutorial/ICESTICK/T17-tones/Makefile similarity index 100% rename from tutorial/T17-tones/Makefile rename to tutorial/ICESTICK/T17-tones/Makefile diff --git a/tutorial/T17-tones/Readme.md b/tutorial/ICESTICK/T17-tones/Readme.md similarity index 100% rename from tutorial/T17-tones/Readme.md rename to tutorial/ICESTICK/T17-tones/Readme.md diff --git a/tutorial/T17-tones/bitstreams/tones.bin b/tutorial/ICESTICK/T17-tones/bitstreams/tones.bin similarity index 100% rename from tutorial/T17-tones/bitstreams/tones.bin rename to tutorial/ICESTICK/T17-tones/bitstreams/tones.bin diff --git a/tutorial/T17-tones/divider.v b/tutorial/ICESTICK/T17-tones/divider.v similarity index 100% rename from tutorial/T17-tones/divider.v rename to tutorial/ICESTICK/T17-tones/divider.v diff --git a/tutorial/T17-tones/divider.vh b/tutorial/ICESTICK/T17-tones/divider.vh similarity index 100% rename from tutorial/T17-tones/divider.vh rename to tutorial/ICESTICK/T17-tones/divider.vh diff --git a/tutorial/T17-tones/images/T17-calculo-divisor-1Khz.png b/tutorial/ICESTICK/T17-tones/images/T17-calculo-divisor-1Khz.png similarity index 100% rename from tutorial/T17-tones/images/T17-calculo-divisor-1Khz.png rename to tutorial/ICESTICK/T17-tones/images/T17-calculo-divisor-1Khz.png diff --git a/tutorial/T17-tones/images/T17-calculo-divisor-1Khz.svg b/tutorial/ICESTICK/T17-tones/images/T17-calculo-divisor-1Khz.svg similarity index 100% rename from tutorial/T17-tones/images/T17-calculo-divisor-1Khz.svg rename to tutorial/ICESTICK/T17-tones/images/T17-calculo-divisor-1Khz.svg diff --git a/tutorial/T17-tones/images/T17-formula-divisor.png b/tutorial/ICESTICK/T17-tones/images/T17-formula-divisor.png similarity index 100% rename from tutorial/T17-tones/images/T17-formula-divisor.png rename to tutorial/ICESTICK/T17-tones/images/T17-formula-divisor.png diff --git a/tutorial/T17-tones/images/T17-formula-divisor.svg b/tutorial/ICESTICK/T17-tones/images/T17-formula-divisor.svg similarity index 100% rename from tutorial/T17-tones/images/T17-formula-divisor.svg rename to tutorial/ICESTICK/T17-tones/images/T17-formula-divisor.svg diff --git a/tutorial/T17-tones/images/T17-tones-icestick-1.png b/tutorial/ICESTICK/T17-tones/images/T17-tones-icestick-1.png similarity index 100% rename from tutorial/T17-tones/images/T17-tones-icestick-1.png rename to tutorial/ICESTICK/T17-tones/images/T17-tones-icestick-1.png diff --git a/tutorial/T17-tones/images/T17-tones-sim1.png b/tutorial/ICESTICK/T17-tones/images/T17-tones-sim1.png similarity index 100% rename from tutorial/T17-tones/images/T17-tones-sim1.png rename to tutorial/ICESTICK/T17-tones/images/T17-tones-sim1.png diff --git a/tutorial/T17-tones/images/tones-1.png b/tutorial/ICESTICK/T17-tones/images/tones-1.png similarity index 100% rename from tutorial/T17-tones/images/tones-1.png rename to tutorial/ICESTICK/T17-tones/images/tones-1.png diff --git a/tutorial/T17-tones/images/tones-1.svg b/tutorial/ICESTICK/T17-tones/images/tones-1.svg similarity index 100% rename from tutorial/T17-tones/images/tones-1.svg rename to tutorial/ICESTICK/T17-tones/images/tones-1.svg diff --git a/tutorial/T17-tones/images/tones-2.png b/tutorial/ICESTICK/T17-tones/images/tones-2.png similarity index 100% rename from tutorial/T17-tones/images/tones-2.png rename to tutorial/ICESTICK/T17-tones/images/tones-2.png diff --git a/tutorial/T17-tones/images/tones-2.svg b/tutorial/ICESTICK/T17-tones/images/tones-2.svg similarity index 100% rename from tutorial/T17-tones/images/tones-2.svg rename to tutorial/ICESTICK/T17-tones/images/tones-2.svg diff --git a/tutorial/T17-tones/images/tones-3.png b/tutorial/ICESTICK/T17-tones/images/tones-3.png similarity index 100% rename from tutorial/T17-tones/images/tones-3.png rename to tutorial/ICESTICK/T17-tones/images/tones-3.png diff --git a/tutorial/T17-tones/images/tones-3.svg b/tutorial/ICESTICK/T17-tones/images/tones-3.svg similarity index 100% rename from tutorial/T17-tones/images/tones-3.svg rename to tutorial/ICESTICK/T17-tones/images/tones-3.svg diff --git a/tutorial/T17-tones/tones.pcf b/tutorial/ICESTICK/T17-tones/tones.pcf similarity index 100% rename from tutorial/T17-tones/tones.pcf rename to tutorial/ICESTICK/T17-tones/tones.pcf diff --git a/tutorial/T17-tones/tones.v b/tutorial/ICESTICK/T17-tones/tones.v similarity index 100% rename from tutorial/T17-tones/tones.v rename to tutorial/ICESTICK/T17-tones/tones.v diff --git a/tutorial/T17-tones/tones_tb.gtkw b/tutorial/ICESTICK/T17-tones/tones_tb.gtkw similarity index 100% rename from tutorial/T17-tones/tones_tb.gtkw rename to tutorial/ICESTICK/T17-tones/tones_tb.gtkw diff --git a/tutorial/T17-tones/tones_tb.v b/tutorial/ICESTICK/T17-tones/tones_tb.v similarity index 100% rename from tutorial/T17-tones/tones_tb.v rename to tutorial/ICESTICK/T17-tones/tones_tb.v diff --git a/tutorial/T18-notas/Makefile b/tutorial/ICESTICK/T18-notas/Makefile similarity index 100% rename from tutorial/T18-notas/Makefile rename to tutorial/ICESTICK/T18-notas/Makefile diff --git a/tutorial/T18-notas/bitstream/notas.bin b/tutorial/ICESTICK/T18-notas/bitstream/notas.bin similarity index 100% rename from tutorial/T18-notas/bitstream/notas.bin rename to tutorial/ICESTICK/T18-notas/bitstream/notas.bin diff --git a/tutorial/T18-notas/divider.v b/tutorial/ICESTICK/T18-notas/divider.v similarity index 100% rename from tutorial/T18-notas/divider.v rename to tutorial/ICESTICK/T18-notas/divider.v diff --git a/tutorial/T18-notas/divider.vh b/tutorial/ICESTICK/T18-notas/divider.vh similarity index 100% rename from tutorial/T18-notas/divider.vh rename to tutorial/ICESTICK/T18-notas/divider.vh diff --git a/tutorial/T18-notas/images/T18-notas-sim-1.png b/tutorial/ICESTICK/T18-notas/images/T18-notas-sim-1.png similarity index 100% rename from tutorial/T18-notas/images/T18-notas-sim-1.png rename to tutorial/ICESTICK/T18-notas/images/T18-notas-sim-1.png diff --git a/tutorial/T18-notas/images/notas-1.png b/tutorial/ICESTICK/T18-notas/images/notas-1.png similarity index 100% rename from tutorial/T18-notas/images/notas-1.png rename to tutorial/ICESTICK/T18-notas/images/notas-1.png diff --git a/tutorial/T18-notas/images/notas-1.svg b/tutorial/ICESTICK/T18-notas/images/notas-1.svg similarity index 100% rename from tutorial/T18-notas/images/notas-1.svg rename to tutorial/ICESTICK/T18-notas/images/notas-1.svg diff --git a/tutorial/T18-notas/images/notas-2.png b/tutorial/ICESTICK/T18-notas/images/notas-2.png similarity index 100% rename from tutorial/T18-notas/images/notas-2.png rename to tutorial/ICESTICK/T18-notas/images/notas-2.png diff --git a/tutorial/T18-notas/images/notas-3.png b/tutorial/ICESTICK/T18-notas/images/notas-3.png similarity index 100% rename from tutorial/T18-notas/images/notas-3.png rename to tutorial/ICESTICK/T18-notas/images/notas-3.png diff --git a/tutorial/T18-notas/images/notas-3.svg b/tutorial/ICESTICK/T18-notas/images/notas-3.svg similarity index 100% rename from tutorial/T18-notas/images/notas-3.svg rename to tutorial/ICESTICK/T18-notas/images/notas-3.svg diff --git a/tutorial/T18-notas/notas.pcf b/tutorial/ICESTICK/T18-notas/notas.pcf similarity index 100% rename from tutorial/T18-notas/notas.pcf rename to tutorial/ICESTICK/T18-notas/notas.pcf diff --git a/tutorial/T18-notas/notas.v b/tutorial/ICESTICK/T18-notas/notas.v similarity index 100% rename from tutorial/T18-notas/notas.v rename to tutorial/ICESTICK/T18-notas/notas.v diff --git a/tutorial/T18-notas/notas_gen.py b/tutorial/ICESTICK/T18-notas/notas_gen.py similarity index 100% rename from tutorial/T18-notas/notas_gen.py rename to tutorial/ICESTICK/T18-notas/notas_gen.py diff --git a/tutorial/T18-notas/notas_tb.gtkw b/tutorial/ICESTICK/T18-notas/notas_tb.gtkw similarity index 100% rename from tutorial/T18-notas/notas_tb.gtkw rename to tutorial/ICESTICK/T18-notas/notas_tb.gtkw diff --git a/tutorial/T18-notas/notas_tb.v b/tutorial/ICESTICK/T18-notas/notas_tb.v similarity index 100% rename from tutorial/T18-notas/notas_tb.v rename to tutorial/ICESTICK/T18-notas/notas_tb.v diff --git a/tutorial/T19-secnotas/Makefile b/tutorial/ICESTICK/T19-secnotas/Makefile similarity index 100% rename from tutorial/T19-secnotas/Makefile rename to tutorial/ICESTICK/T19-secnotas/Makefile diff --git a/tutorial/T19-secnotas/bitstream/secnotas.bin b/tutorial/ICESTICK/T19-secnotas/bitstream/secnotas.bin similarity index 100% rename from tutorial/T19-secnotas/bitstream/secnotas.bin rename to tutorial/ICESTICK/T19-secnotas/bitstream/secnotas.bin diff --git a/tutorial/T19-secnotas/divider.v b/tutorial/ICESTICK/T19-secnotas/divider.v similarity index 100% rename from tutorial/T19-secnotas/divider.v rename to tutorial/ICESTICK/T19-secnotas/divider.v diff --git a/tutorial/T19-secnotas/divider.vh b/tutorial/ICESTICK/T19-secnotas/divider.vh similarity index 100% rename from tutorial/T19-secnotas/divider.vh rename to tutorial/ICESTICK/T19-secnotas/divider.vh diff --git a/tutorial/T19-secnotas/images/T19-secnotas-sim-1.png b/tutorial/ICESTICK/T19-secnotas/images/T19-secnotas-sim-1.png similarity index 100% rename from tutorial/T19-secnotas/images/T19-secnotas-sim-1.png rename to tutorial/ICESTICK/T19-secnotas/images/T19-secnotas-sim-1.png diff --git a/tutorial/T19-secnotas/images/secnotas-1.png b/tutorial/ICESTICK/T19-secnotas/images/secnotas-1.png similarity index 100% rename from tutorial/T19-secnotas/images/secnotas-1.png rename to tutorial/ICESTICK/T19-secnotas/images/secnotas-1.png diff --git a/tutorial/T19-secnotas/images/secnotas-1.svg b/tutorial/ICESTICK/T19-secnotas/images/secnotas-1.svg similarity index 100% rename from tutorial/T19-secnotas/images/secnotas-1.svg rename to tutorial/ICESTICK/T19-secnotas/images/secnotas-1.svg diff --git a/tutorial/T19-secnotas/images/secnotas-2.png b/tutorial/ICESTICK/T19-secnotas/images/secnotas-2.png similarity index 100% rename from tutorial/T19-secnotas/images/secnotas-2.png rename to tutorial/ICESTICK/T19-secnotas/images/secnotas-2.png diff --git a/tutorial/T19-secnotas/images/secnotas-2.svg b/tutorial/ICESTICK/T19-secnotas/images/secnotas-2.svg similarity index 100% rename from tutorial/T19-secnotas/images/secnotas-2.svg rename to tutorial/ICESTICK/T19-secnotas/images/secnotas-2.svg diff --git a/tutorial/T19-secnotas/images/secnotas-3.png b/tutorial/ICESTICK/T19-secnotas/images/secnotas-3.png similarity index 100% rename from tutorial/T19-secnotas/images/secnotas-3.png rename to tutorial/ICESTICK/T19-secnotas/images/secnotas-3.png diff --git a/tutorial/T19-secnotas/images/secnotas-3.svg b/tutorial/ICESTICK/T19-secnotas/images/secnotas-3.svg similarity index 100% rename from tutorial/T19-secnotas/images/secnotas-3.svg rename to tutorial/ICESTICK/T19-secnotas/images/secnotas-3.svg diff --git a/tutorial/T19-secnotas/images/secnotas-4.png b/tutorial/ICESTICK/T19-secnotas/images/secnotas-4.png similarity index 100% rename from tutorial/T19-secnotas/images/secnotas-4.png rename to tutorial/ICESTICK/T19-secnotas/images/secnotas-4.png diff --git a/tutorial/T19-secnotas/secnotas.pcf b/tutorial/ICESTICK/T19-secnotas/secnotas.pcf similarity index 100% rename from tutorial/T19-secnotas/secnotas.pcf rename to tutorial/ICESTICK/T19-secnotas/secnotas.pcf diff --git a/tutorial/T19-secnotas/secnotas.v b/tutorial/ICESTICK/T19-secnotas/secnotas.v similarity index 100% rename from tutorial/T19-secnotas/secnotas.v rename to tutorial/ICESTICK/T19-secnotas/secnotas.v diff --git a/tutorial/T19-secnotas/secnotas_tb.gtkw b/tutorial/ICESTICK/T19-secnotas/secnotas_tb.gtkw similarity index 100% rename from tutorial/T19-secnotas/secnotas_tb.gtkw rename to tutorial/ICESTICK/T19-secnotas/secnotas_tb.gtkw diff --git a/tutorial/T19-secnotas/secnotas_tb.v b/tutorial/ICESTICK/T19-secnotas/secnotas_tb.v similarity index 100% rename from tutorial/T19-secnotas/secnotas_tb.v rename to tutorial/ICESTICK/T19-secnotas/secnotas_tb.v diff --git a/tutorial/T20-serialcomm-1/Makefile b/tutorial/ICESTICK/T20-serialcomm-1/Makefile similarity index 100% rename from tutorial/T20-serialcomm-1/Makefile rename to tutorial/ICESTICK/T20-serialcomm-1/Makefile diff --git a/tutorial/T20-serialcomm-1/bitstream/echowire1.bin b/tutorial/ICESTICK/T20-serialcomm-1/bitstream/echowire1.bin similarity index 100% rename from tutorial/T20-serialcomm-1/bitstream/echowire1.bin rename to tutorial/ICESTICK/T20-serialcomm-1/bitstream/echowire1.bin diff --git a/tutorial/T20-serialcomm-1/bitstream/echowire2.bin b/tutorial/ICESTICK/T20-serialcomm-1/bitstream/echowire2.bin similarity index 100% rename from tutorial/T20-serialcomm-1/bitstream/echowire2.bin rename to tutorial/ICESTICK/T20-serialcomm-1/bitstream/echowire2.bin diff --git a/tutorial/T20-serialcomm-1/echowire1.pcf b/tutorial/ICESTICK/T20-serialcomm-1/echowire1.pcf similarity index 100% rename from tutorial/T20-serialcomm-1/echowire1.pcf rename to tutorial/ICESTICK/T20-serialcomm-1/echowire1.pcf diff --git a/tutorial/T20-serialcomm-1/echowire1.v b/tutorial/ICESTICK/T20-serialcomm-1/echowire1.v similarity index 100% rename from tutorial/T20-serialcomm-1/echowire1.v rename to tutorial/ICESTICK/T20-serialcomm-1/echowire1.v diff --git a/tutorial/T20-serialcomm-1/echowire1_tb.gtkw b/tutorial/ICESTICK/T20-serialcomm-1/echowire1_tb.gtkw similarity index 100% rename from tutorial/T20-serialcomm-1/echowire1_tb.gtkw rename to tutorial/ICESTICK/T20-serialcomm-1/echowire1_tb.gtkw diff --git a/tutorial/T20-serialcomm-1/echowire1_tb.v b/tutorial/ICESTICK/T20-serialcomm-1/echowire1_tb.v similarity index 100% rename from tutorial/T20-serialcomm-1/echowire1_tb.v rename to tutorial/ICESTICK/T20-serialcomm-1/echowire1_tb.v diff --git a/tutorial/T20-serialcomm-1/echowire2.pcf b/tutorial/ICESTICK/T20-serialcomm-1/echowire2.pcf similarity index 100% rename from tutorial/T20-serialcomm-1/echowire2.pcf rename to tutorial/ICESTICK/T20-serialcomm-1/echowire2.pcf diff --git a/tutorial/T20-serialcomm-1/echowire2.v b/tutorial/ICESTICK/T20-serialcomm-1/echowire2.v similarity index 100% rename from tutorial/T20-serialcomm-1/echowire2.v rename to tutorial/ICESTICK/T20-serialcomm-1/echowire2.v diff --git a/tutorial/T20-serialcomm-1/echowire2_tb.gtkw b/tutorial/ICESTICK/T20-serialcomm-1/echowire2_tb.gtkw similarity index 100% rename from tutorial/T20-serialcomm-1/echowire2_tb.gtkw rename to tutorial/ICESTICK/T20-serialcomm-1/echowire2_tb.gtkw diff --git a/tutorial/T20-serialcomm-1/echowire2_tb.v b/tutorial/ICESTICK/T20-serialcomm-1/echowire2_tb.v similarity index 100% rename from tutorial/T20-serialcomm-1/echowire2_tb.v rename to tutorial/ICESTICK/T20-serialcomm-1/echowire2_tb.v diff --git a/tutorial/T20-serialcomm-1/images/echowire1-sim.png b/tutorial/ICESTICK/T20-serialcomm-1/images/echowire1-sim.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/echowire1-sim.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/echowire1-sim.png diff --git a/tutorial/T20-serialcomm-1/images/echowire2-icestick.png b/tutorial/ICESTICK/T20-serialcomm-1/images/echowire2-icestick.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/echowire2-icestick.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/echowire2-icestick.png diff --git a/tutorial/T20-serialcomm-1/images/echowire2-icestick.svg b/tutorial/ICESTICK/T20-serialcomm-1/images/echowire2-icestick.svg similarity index 100% rename from tutorial/T20-serialcomm-1/images/echowire2-icestick.svg rename to tutorial/ICESTICK/T20-serialcomm-1/images/echowire2-icestick.svg diff --git a/tutorial/T20-serialcomm-1/images/echowire2-sim.png b/tutorial/ICESTICK/T20-serialcomm-1/images/echowire2-sim.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/echowire2-sim.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/echowire2-sim.png diff --git a/tutorial/T20-serialcomm-1/images/gtkterm-screenshot-1.png b/tutorial/ICESTICK/T20-serialcomm-1/images/gtkterm-screenshot-1.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/gtkterm-screenshot-1.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/gtkterm-screenshot-1.png diff --git a/tutorial/T20-serialcomm-1/images/gtkterm-screenshot-2.png b/tutorial/ICESTICK/T20-serialcomm-1/images/gtkterm-screenshot-2.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/gtkterm-screenshot-2.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/gtkterm-screenshot-2.png diff --git a/tutorial/T20-serialcomm-1/images/gtkterm-screenshot-3.png b/tutorial/ICESTICK/T20-serialcomm-1/images/gtkterm-screenshot-3.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/gtkterm-screenshot-3.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/gtkterm-screenshot-3.png diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-1.png b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-1.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-1.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-1.png diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-1.svg b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-1.svg similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-1.svg rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-1.svg diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-2.png b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-2.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-2.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-2.png diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-2.svg b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-2.svg similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-2.svg rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-2.svg diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-3.png b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-3.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-3.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-3.png diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-3.svg b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-3.svg similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-3.svg rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-3.svg diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-4.png b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-4.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-4.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-4.png diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-4.svg b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-4.svg similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-4.svg rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-4.svg diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-5.png b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-5.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-5.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-5.png diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-5.svg b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-5.svg similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-5.svg rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-5.svg diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-6.png b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-6.png similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-6.png rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-6.png diff --git a/tutorial/T20-serialcomm-1/images/serialcomm-6.svg b/tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-6.svg similarity index 100% rename from tutorial/T20-serialcomm-1/images/serialcomm-6.svg rename to tutorial/ICESTICK/T20-serialcomm-1/images/serialcomm-6.svg diff --git a/tutorial/T21-baud-tx/Makefile b/tutorial/ICESTICK/T21-baud-tx/Makefile similarity index 100% rename from tutorial/T21-baud-tx/Makefile rename to tutorial/ICESTICK/T21-baud-tx/Makefile diff --git a/tutorial/T21-baud-tx/baudgen.vh b/tutorial/ICESTICK/T21-baud-tx/baudgen.vh similarity index 100% rename from tutorial/T21-baud-tx/baudgen.vh rename to tutorial/ICESTICK/T21-baud-tx/baudgen.vh diff --git a/tutorial/T21-baud-tx/baudtx.pcf b/tutorial/ICESTICK/T21-baud-tx/baudtx.pcf similarity index 100% rename from tutorial/T21-baud-tx/baudtx.pcf rename to tutorial/ICESTICK/T21-baud-tx/baudtx.pcf diff --git a/tutorial/T21-baud-tx/baudtx.v b/tutorial/ICESTICK/T21-baud-tx/baudtx.v similarity index 100% rename from tutorial/T21-baud-tx/baudtx.v rename to tutorial/ICESTICK/T21-baud-tx/baudtx.v diff --git a/tutorial/T21-baud-tx/baudtx2.pcf b/tutorial/ICESTICK/T21-baud-tx/baudtx2.pcf similarity index 100% rename from tutorial/T21-baud-tx/baudtx2.pcf rename to tutorial/ICESTICK/T21-baud-tx/baudtx2.pcf diff --git a/tutorial/T21-baud-tx/baudtx2.v b/tutorial/ICESTICK/T21-baud-tx/baudtx2.v similarity index 100% rename from tutorial/T21-baud-tx/baudtx2.v rename to tutorial/ICESTICK/T21-baud-tx/baudtx2.v diff --git a/tutorial/T21-baud-tx/baudtx2_tb.gtkw b/tutorial/ICESTICK/T21-baud-tx/baudtx2_tb.gtkw similarity index 100% rename from tutorial/T21-baud-tx/baudtx2_tb.gtkw rename to tutorial/ICESTICK/T21-baud-tx/baudtx2_tb.gtkw diff --git a/tutorial/T21-baud-tx/baudtx2_tb.v b/tutorial/ICESTICK/T21-baud-tx/baudtx2_tb.v similarity index 100% rename from tutorial/T21-baud-tx/baudtx2_tb.v rename to tutorial/ICESTICK/T21-baud-tx/baudtx2_tb.v diff --git a/tutorial/T21-baud-tx/baudtx3.pcf b/tutorial/ICESTICK/T21-baud-tx/baudtx3.pcf similarity index 100% rename from tutorial/T21-baud-tx/baudtx3.pcf rename to tutorial/ICESTICK/T21-baud-tx/baudtx3.pcf diff --git a/tutorial/T21-baud-tx/baudtx3.v b/tutorial/ICESTICK/T21-baud-tx/baudtx3.v similarity index 100% rename from tutorial/T21-baud-tx/baudtx3.v rename to tutorial/ICESTICK/T21-baud-tx/baudtx3.v diff --git a/tutorial/T21-baud-tx/baudtx3_tb.gtkw b/tutorial/ICESTICK/T21-baud-tx/baudtx3_tb.gtkw similarity index 100% rename from tutorial/T21-baud-tx/baudtx3_tb.gtkw rename to tutorial/ICESTICK/T21-baud-tx/baudtx3_tb.gtkw diff --git a/tutorial/T21-baud-tx/baudtx3_tb.v b/tutorial/ICESTICK/T21-baud-tx/baudtx3_tb.v similarity index 100% rename from tutorial/T21-baud-tx/baudtx3_tb.v rename to tutorial/ICESTICK/T21-baud-tx/baudtx3_tb.v diff --git a/tutorial/T21-baud-tx/baudtx_tb.gtkw b/tutorial/ICESTICK/T21-baud-tx/baudtx_tb.gtkw similarity index 100% rename from tutorial/T21-baud-tx/baudtx_tb.gtkw rename to tutorial/ICESTICK/T21-baud-tx/baudtx_tb.gtkw diff --git a/tutorial/T21-baud-tx/baudtx_tb.v b/tutorial/ICESTICK/T21-baud-tx/baudtx_tb.v similarity index 100% rename from tutorial/T21-baud-tx/baudtx_tb.v rename to tutorial/ICESTICK/T21-baud-tx/baudtx_tb.v diff --git a/tutorial/T21-baud-tx/bitstreams/baudtx.bin b/tutorial/ICESTICK/T21-baud-tx/bitstreams/baudtx.bin similarity index 100% rename from tutorial/T21-baud-tx/bitstreams/baudtx.bin rename to tutorial/ICESTICK/T21-baud-tx/bitstreams/baudtx.bin diff --git a/tutorial/T21-baud-tx/bitstreams/baudtx2.bin b/tutorial/ICESTICK/T21-baud-tx/bitstreams/baudtx2.bin similarity index 100% rename from tutorial/T21-baud-tx/bitstreams/baudtx2.bin rename to tutorial/ICESTICK/T21-baud-tx/bitstreams/baudtx2.bin diff --git a/tutorial/T21-baud-tx/bitstreams/baudtx3.bin b/tutorial/ICESTICK/T21-baud-tx/bitstreams/baudtx3.bin similarity index 100% rename from tutorial/T21-baud-tx/bitstreams/baudtx3.bin rename to tutorial/ICESTICK/T21-baud-tx/bitstreams/baudtx3.bin diff --git a/tutorial/T21-baud-tx/divider.v b/tutorial/ICESTICK/T21-baud-tx/divider.v similarity index 100% rename from tutorial/T21-baud-tx/divider.v rename to tutorial/ICESTICK/T21-baud-tx/divider.v diff --git a/tutorial/T21-baud-tx/divider.vh b/tutorial/ICESTICK/T21-baud-tx/divider.vh similarity index 100% rename from tutorial/T21-baud-tx/divider.vh rename to tutorial/ICESTICK/T21-baud-tx/divider.vh diff --git a/tutorial/T21-baud-tx/images/baudtx-1-gtkterm.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-1-gtkterm.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-1-gtkterm.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-1-gtkterm.png diff --git a/tutorial/T21-baud-tx/images/baudtx-1-sim.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-1-sim.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-1-sim.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-1-sim.png diff --git a/tutorial/T21-baud-tx/images/baudtx-1.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-1.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-1.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-1.png diff --git a/tutorial/T21-baud-tx/images/baudtx-1.svg b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-1.svg similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-1.svg rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-1.svg diff --git a/tutorial/T21-baud-tx/images/baudtx-2-gtkterm.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-2-gtkterm.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-2-gtkterm.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-2-gtkterm.png diff --git a/tutorial/T21-baud-tx/images/baudtx-2-sim.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-2-sim.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-2-sim.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-2-sim.png diff --git a/tutorial/T21-baud-tx/images/baudtx-3-gtkterm-ok.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-3-gtkterm-ok.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-3-gtkterm-ok.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-3-gtkterm-ok.png diff --git a/tutorial/T21-baud-tx/images/baudtx-3-gtkterm.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-3-gtkterm.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-3-gtkterm.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-3-gtkterm.png diff --git a/tutorial/T21-baud-tx/images/baudtx-3.svg b/tutorial/ICESTICK/T21-baud-tx/images/baudtx-3.svg similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx-3.svg rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx-3.svg diff --git a/tutorial/T21-baud-tx/images/baudtx2-1.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx2-1.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx2-1.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx2-1.png diff --git a/tutorial/T21-baud-tx/images/baudtx2-1.svg b/tutorial/ICESTICK/T21-baud-tx/images/baudtx2-1.svg similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx2-1.svg rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx2-1.svg diff --git a/tutorial/T21-baud-tx/images/baudtx3-1.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx3-1.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx3-1.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx3-1.png diff --git a/tutorial/T21-baud-tx/images/baudtx3-sim.png b/tutorial/ICESTICK/T21-baud-tx/images/baudtx3-sim.png similarity index 100% rename from tutorial/T21-baud-tx/images/baudtx3-sim.png rename to tutorial/ICESTICK/T21-baud-tx/images/baudtx3-sim.png diff --git a/tutorial/T21-baud-tx/images/k-car.png b/tutorial/ICESTICK/T21-baud-tx/images/k-car.png similarity index 100% rename from tutorial/T21-baud-tx/images/k-car.png rename to tutorial/ICESTICK/T21-baud-tx/images/k-car.png diff --git a/tutorial/T21-baud-tx/images/k-car.svg b/tutorial/ICESTICK/T21-baud-tx/images/k-car.svg similarity index 100% rename from tutorial/T21-baud-tx/images/k-car.svg rename to tutorial/ICESTICK/T21-baud-tx/images/k-car.svg diff --git a/tutorial/T21-baud-tx/images/serial-frame-3.png b/tutorial/ICESTICK/T21-baud-tx/images/serial-frame-3.png similarity index 100% rename from tutorial/T21-baud-tx/images/serial-frame-3.png rename to tutorial/ICESTICK/T21-baud-tx/images/serial-frame-3.png diff --git a/tutorial/T21-baud-tx/images/serial-frame-3.svg b/tutorial/ICESTICK/T21-baud-tx/images/serial-frame-3.svg similarity index 100% rename from tutorial/T21-baud-tx/images/serial-frame-3.svg rename to tutorial/ICESTICK/T21-baud-tx/images/serial-frame-3.svg diff --git a/tutorial/T21-baud-tx/images/serial-frame-4.png b/tutorial/ICESTICK/T21-baud-tx/images/serial-frame-4.png similarity index 100% rename from tutorial/T21-baud-tx/images/serial-frame-4.png rename to tutorial/ICESTICK/T21-baud-tx/images/serial-frame-4.png diff --git a/tutorial/T21-baud-tx/images/serial-frame-4.svg b/tutorial/ICESTICK/T21-baud-tx/images/serial-frame-4.svg similarity index 100% rename from tutorial/T21-baud-tx/images/serial-frame-4.svg rename to tutorial/ICESTICK/T21-baud-tx/images/serial-frame-4.svg diff --git a/tutorial/T21-baud-tx/images/serial-frame-format-2.png b/tutorial/ICESTICK/T21-baud-tx/images/serial-frame-format-2.png similarity index 100% rename from tutorial/T21-baud-tx/images/serial-frame-format-2.png rename to tutorial/ICESTICK/T21-baud-tx/images/serial-frame-format-2.png diff --git a/tutorial/T21-baud-tx/images/serial-frame-format-2.svg b/tutorial/ICESTICK/T21-baud-tx/images/serial-frame-format-2.svg similarity index 100% rename from tutorial/T21-baud-tx/images/serial-frame-format-2.svg rename to tutorial/ICESTICK/T21-baud-tx/images/serial-frame-format-2.svg diff --git a/tutorial/T21-baud-tx/images/serial-frame-format.png b/tutorial/ICESTICK/T21-baud-tx/images/serial-frame-format.png similarity index 100% rename from tutorial/T21-baud-tx/images/serial-frame-format.png rename to tutorial/ICESTICK/T21-baud-tx/images/serial-frame-format.png diff --git a/tutorial/T21-baud-tx/images/serial-frame-format.svg b/tutorial/ICESTICK/T21-baud-tx/images/serial-frame-format.svg similarity index 100% rename from tutorial/T21-baud-tx/images/serial-frame-format.svg rename to tutorial/ICESTICK/T21-baud-tx/images/serial-frame-format.svg diff --git a/tutorial/T22-syncrules/Makefile b/tutorial/ICESTICK/T22-syncrules/Makefile similarity index 100% rename from tutorial/T22-syncrules/Makefile rename to tutorial/ICESTICK/T22-syncrules/Makefile diff --git a/tutorial/T22-syncrules/baudgen.v b/tutorial/ICESTICK/T22-syncrules/baudgen.v similarity index 100% rename from tutorial/T22-syncrules/baudgen.v rename to tutorial/ICESTICK/T22-syncrules/baudgen.v diff --git a/tutorial/T22-syncrules/baudgen.vh b/tutorial/ICESTICK/T22-syncrules/baudgen.vh similarity index 100% rename from tutorial/T22-syncrules/baudgen.vh rename to tutorial/ICESTICK/T22-syncrules/baudgen.vh diff --git a/tutorial/T22-syncrules/bitstream/txtest.bin b/tutorial/ICESTICK/T22-syncrules/bitstream/txtest.bin similarity index 100% rename from tutorial/T22-syncrules/bitstream/txtest.bin rename to tutorial/ICESTICK/T22-syncrules/bitstream/txtest.bin diff --git a/tutorial/T22-syncrules/bitstream/txtest2.bin b/tutorial/ICESTICK/T22-syncrules/bitstream/txtest2.bin similarity index 100% rename from tutorial/T22-syncrules/bitstream/txtest2.bin rename to tutorial/ICESTICK/T22-syncrules/bitstream/txtest2.bin diff --git a/tutorial/T22-syncrules/bitstream/txtest3.bin b/tutorial/ICESTICK/T22-syncrules/bitstream/txtest3.bin similarity index 100% rename from tutorial/T22-syncrules/bitstream/txtest3.bin rename to tutorial/ICESTICK/T22-syncrules/bitstream/txtest3.bin diff --git a/tutorial/T22-syncrules/divider.v b/tutorial/ICESTICK/T22-syncrules/divider.v similarity index 100% rename from tutorial/T22-syncrules/divider.v rename to tutorial/ICESTICK/T22-syncrules/divider.v diff --git a/tutorial/T22-syncrules/divider.vh b/tutorial/ICESTICK/T22-syncrules/divider.vh similarity index 100% rename from tutorial/T22-syncrules/divider.vh rename to tutorial/ICESTICK/T22-syncrules/divider.vh diff --git a/tutorial/T22-syncrules/images/baudgen-chronogram.png b/tutorial/ICESTICK/T22-syncrules/images/baudgen-chronogram.png similarity index 100% rename from tutorial/T22-syncrules/images/baudgen-chronogram.png rename to tutorial/ICESTICK/T22-syncrules/images/baudgen-chronogram.png diff --git a/tutorial/T22-syncrules/images/baudgen-chronogram.svg b/tutorial/ICESTICK/T22-syncrules/images/baudgen-chronogram.svg similarity index 100% rename from tutorial/T22-syncrules/images/baudgen-chronogram.svg rename to tutorial/ICESTICK/T22-syncrules/images/baudgen-chronogram.svg diff --git a/tutorial/T22-syncrules/images/baudgen-diagram.png b/tutorial/ICESTICK/T22-syncrules/images/baudgen-diagram.png similarity index 100% rename from tutorial/T22-syncrules/images/baudgen-diagram.png rename to tutorial/ICESTICK/T22-syncrules/images/baudgen-diagram.png diff --git a/tutorial/T22-syncrules/images/baudgen-diagram.svg b/tutorial/ICESTICK/T22-syncrules/images/baudgen-diagram.svg similarity index 100% rename from tutorial/T22-syncrules/images/baudgen-diagram.svg rename to tutorial/ICESTICK/T22-syncrules/images/baudgen-diagram.svg diff --git a/tutorial/T22-syncrules/images/baudtx-1-errors.png b/tutorial/ICESTICK/T22-syncrules/images/baudtx-1-errors.png similarity index 100% rename from tutorial/T22-syncrules/images/baudtx-1-errors.png rename to tutorial/ICESTICK/T22-syncrules/images/baudtx-1-errors.png diff --git a/tutorial/T22-syncrules/images/baudtx-1-errors.svg b/tutorial/ICESTICK/T22-syncrules/images/baudtx-1-errors.svg similarity index 100% rename from tutorial/T22-syncrules/images/baudtx-1-errors.svg rename to tutorial/ICESTICK/T22-syncrules/images/baudtx-1-errors.svg diff --git a/tutorial/T22-syncrules/images/glitches-xor.png b/tutorial/ICESTICK/T22-syncrules/images/glitches-xor.png similarity index 100% rename from tutorial/T22-syncrules/images/glitches-xor.png rename to tutorial/ICESTICK/T22-syncrules/images/glitches-xor.png diff --git a/tutorial/T22-syncrules/images/glitches-xor.svg b/tutorial/ICESTICK/T22-syncrules/images/glitches-xor.svg similarity index 100% rename from tutorial/T22-syncrules/images/glitches-xor.svg rename to tutorial/ICESTICK/T22-syncrules/images/glitches-xor.svg diff --git a/tutorial/T22-syncrules/images/regla-1-mismo-flanco.png b/tutorial/ICESTICK/T22-syncrules/images/regla-1-mismo-flanco.png similarity index 100% rename from tutorial/T22-syncrules/images/regla-1-mismo-flanco.png rename to tutorial/ICESTICK/T22-syncrules/images/regla-1-mismo-flanco.png diff --git a/tutorial/T22-syncrules/images/regla-1-mismo-flanco.svg b/tutorial/ICESTICK/T22-syncrules/images/regla-1-mismo-flanco.svg similarity index 100% rename from tutorial/T22-syncrules/images/regla-1-mismo-flanco.svg rename to tutorial/ICESTICK/T22-syncrules/images/regla-1-mismo-flanco.svg diff --git a/tutorial/T22-syncrules/images/regla-2-unico-reloj.png b/tutorial/ICESTICK/T22-syncrules/images/regla-2-unico-reloj.png similarity index 100% rename from tutorial/T22-syncrules/images/regla-2-unico-reloj.png rename to tutorial/ICESTICK/T22-syncrules/images/regla-2-unico-reloj.png diff --git a/tutorial/T22-syncrules/images/regla-2-unico-reloj.svg b/tutorial/ICESTICK/T22-syncrules/images/regla-2-unico-reloj.svg similarity index 100% rename from tutorial/T22-syncrules/images/regla-2-unico-reloj.svg rename to tutorial/ICESTICK/T22-syncrules/images/regla-2-unico-reloj.svg diff --git a/tutorial/T22-syncrules/images/regla-3-entradas-sincronizadas.png b/tutorial/ICESTICK/T22-syncrules/images/regla-3-entradas-sincronizadas.png similarity index 100% rename from tutorial/T22-syncrules/images/regla-3-entradas-sincronizadas.png rename to tutorial/ICESTICK/T22-syncrules/images/regla-3-entradas-sincronizadas.png diff --git a/tutorial/T22-syncrules/images/regla-3-entradas-sincronizadas.svg b/tutorial/ICESTICK/T22-syncrules/images/regla-3-entradas-sincronizadas.svg similarity index 100% rename from tutorial/T22-syncrules/images/regla-3-entradas-sincronizadas.svg rename to tutorial/ICESTICK/T22-syncrules/images/regla-3-entradas-sincronizadas.svg diff --git a/tutorial/T22-syncrules/images/regla-4-entradas-sec-sincronizadas.png b/tutorial/ICESTICK/T22-syncrules/images/regla-4-entradas-sec-sincronizadas.png similarity index 100% rename from tutorial/T22-syncrules/images/regla-4-entradas-sec-sincronizadas.png rename to tutorial/ICESTICK/T22-syncrules/images/regla-4-entradas-sec-sincronizadas.png diff --git a/tutorial/T22-syncrules/images/regla-4-entradas-sec-sincronizadas.svg b/tutorial/ICESTICK/T22-syncrules/images/regla-4-entradas-sec-sincronizadas.svg similarity index 100% rename from tutorial/T22-syncrules/images/regla-4-entradas-sec-sincronizadas.svg rename to tutorial/ICESTICK/T22-syncrules/images/regla-4-entradas-sec-sincronizadas.svg diff --git a/tutorial/T22-syncrules/images/regla-5-salidas-combinacionales.png b/tutorial/ICESTICK/T22-syncrules/images/regla-5-salidas-combinacionales.png similarity index 100% rename from tutorial/T22-syncrules/images/regla-5-salidas-combinacionales.png rename to tutorial/ICESTICK/T22-syncrules/images/regla-5-salidas-combinacionales.png diff --git a/tutorial/T22-syncrules/images/regla-5-salidas-combinacionales.svg b/tutorial/ICESTICK/T22-syncrules/images/regla-5-salidas-combinacionales.svg similarity index 100% rename from tutorial/T22-syncrules/images/regla-5-salidas-combinacionales.svg rename to tutorial/ICESTICK/T22-syncrules/images/regla-5-salidas-combinacionales.svg diff --git a/tutorial/T22-syncrules/images/retardo-not.png b/tutorial/ICESTICK/T22-syncrules/images/retardo-not.png similarity index 100% rename from tutorial/T22-syncrules/images/retardo-not.png rename to tutorial/ICESTICK/T22-syncrules/images/retardo-not.png diff --git a/tutorial/T22-syncrules/images/retardo-not.svg b/tutorial/ICESTICK/T22-syncrules/images/retardo-not.svg similarity index 100% rename from tutorial/T22-syncrules/images/retardo-not.svg rename to tutorial/ICESTICK/T22-syncrules/images/retardo-not.svg diff --git a/tutorial/T22-syncrules/images/sync-corazon.png b/tutorial/ICESTICK/T22-syncrules/images/sync-corazon.png similarity index 100% rename from tutorial/T22-syncrules/images/sync-corazon.png rename to tutorial/ICESTICK/T22-syncrules/images/sync-corazon.png diff --git a/tutorial/T22-syncrules/images/sync-corazon.svg b/tutorial/ICESTICK/T22-syncrules/images/sync-corazon.svg similarity index 100% rename from tutorial/T22-syncrules/images/sync-corazon.svg rename to tutorial/ICESTICK/T22-syncrules/images/sync-corazon.svg diff --git a/tutorial/T22-syncrules/images/txtest-1-gtkterm.png b/tutorial/ICESTICK/T22-syncrules/images/txtest-1-gtkterm.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest-1-gtkterm.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest-1-gtkterm.png diff --git a/tutorial/T22-syncrules/images/txtest-1-sim.png b/tutorial/ICESTICK/T22-syncrules/images/txtest-1-sim.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest-1-sim.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest-1-sim.png diff --git a/tutorial/T22-syncrules/images/txtest-2-gtkterm.png b/tutorial/ICESTICK/T22-syncrules/images/txtest-2-gtkterm.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest-2-gtkterm.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest-2-gtkterm.png diff --git a/tutorial/T22-syncrules/images/txtest-2-sim.png b/tutorial/ICESTICK/T22-syncrules/images/txtest-2-sim.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest-2-sim.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest-2-sim.png diff --git a/tutorial/T22-syncrules/images/txtest-diagram.png b/tutorial/ICESTICK/T22-syncrules/images/txtest-diagram.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest-diagram.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest-diagram.png diff --git a/tutorial/T22-syncrules/images/txtest-diagram.svg b/tutorial/ICESTICK/T22-syncrules/images/txtest-diagram.svg similarity index 100% rename from tutorial/T22-syncrules/images/txtest-diagram.svg rename to tutorial/ICESTICK/T22-syncrules/images/txtest-diagram.svg diff --git a/tutorial/T22-syncrules/images/txtest2-diagram.png b/tutorial/ICESTICK/T22-syncrules/images/txtest2-diagram.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest2-diagram.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest2-diagram.png diff --git a/tutorial/T22-syncrules/images/txtest2-diagram.svg b/tutorial/ICESTICK/T22-syncrules/images/txtest2-diagram.svg similarity index 100% rename from tutorial/T22-syncrules/images/txtest2-diagram.svg rename to tutorial/ICESTICK/T22-syncrules/images/txtest2-diagram.svg diff --git a/tutorial/T22-syncrules/images/txtest3-diagram.png b/tutorial/ICESTICK/T22-syncrules/images/txtest3-diagram.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest3-diagram.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest3-diagram.png diff --git a/tutorial/T22-syncrules/images/txtest3-diagram.svg b/tutorial/ICESTICK/T22-syncrules/images/txtest3-diagram.svg similarity index 100% rename from tutorial/T22-syncrules/images/txtest3-diagram.svg rename to tutorial/ICESTICK/T22-syncrules/images/txtest3-diagram.svg diff --git a/tutorial/T22-syncrules/images/txtest3-gtkterm.png b/tutorial/ICESTICK/T22-syncrules/images/txtest3-gtkterm.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest3-gtkterm.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest3-gtkterm.png diff --git a/tutorial/T22-syncrules/images/txtest3-sim.png b/tutorial/ICESTICK/T22-syncrules/images/txtest3-sim.png similarity index 100% rename from tutorial/T22-syncrules/images/txtest3-sim.png rename to tutorial/ICESTICK/T22-syncrules/images/txtest3-sim.png diff --git a/tutorial/T22-syncrules/images/xor-contador.png b/tutorial/ICESTICK/T22-syncrules/images/xor-contador.png similarity index 100% rename from tutorial/T22-syncrules/images/xor-contador.png rename to tutorial/ICESTICK/T22-syncrules/images/xor-contador.png diff --git a/tutorial/T22-syncrules/images/xor-contador.svg b/tutorial/ICESTICK/T22-syncrules/images/xor-contador.svg similarity index 100% rename from tutorial/T22-syncrules/images/xor-contador.svg rename to tutorial/ICESTICK/T22-syncrules/images/xor-contador.svg diff --git a/tutorial/T22-syncrules/txtest.pcf b/tutorial/ICESTICK/T22-syncrules/txtest.pcf similarity index 100% rename from tutorial/T22-syncrules/txtest.pcf rename to tutorial/ICESTICK/T22-syncrules/txtest.pcf diff --git a/tutorial/T22-syncrules/txtest.v b/tutorial/ICESTICK/T22-syncrules/txtest.v similarity index 100% rename from tutorial/T22-syncrules/txtest.v rename to tutorial/ICESTICK/T22-syncrules/txtest.v diff --git a/tutorial/T22-syncrules/txtest2.pcf b/tutorial/ICESTICK/T22-syncrules/txtest2.pcf similarity index 100% rename from tutorial/T22-syncrules/txtest2.pcf rename to tutorial/ICESTICK/T22-syncrules/txtest2.pcf diff --git a/tutorial/T22-syncrules/txtest2.v b/tutorial/ICESTICK/T22-syncrules/txtest2.v similarity index 100% rename from tutorial/T22-syncrules/txtest2.v rename to tutorial/ICESTICK/T22-syncrules/txtest2.v diff --git a/tutorial/T22-syncrules/txtest2_tb.gtkw b/tutorial/ICESTICK/T22-syncrules/txtest2_tb.gtkw similarity index 100% rename from tutorial/T22-syncrules/txtest2_tb.gtkw rename to tutorial/ICESTICK/T22-syncrules/txtest2_tb.gtkw diff --git a/tutorial/T22-syncrules/txtest2_tb.v b/tutorial/ICESTICK/T22-syncrules/txtest2_tb.v similarity index 100% rename from tutorial/T22-syncrules/txtest2_tb.v rename to tutorial/ICESTICK/T22-syncrules/txtest2_tb.v diff --git a/tutorial/T22-syncrules/txtest3.pcf b/tutorial/ICESTICK/T22-syncrules/txtest3.pcf similarity index 100% rename from tutorial/T22-syncrules/txtest3.pcf rename to tutorial/ICESTICK/T22-syncrules/txtest3.pcf diff --git a/tutorial/T22-syncrules/txtest3.v b/tutorial/ICESTICK/T22-syncrules/txtest3.v similarity index 100% rename from tutorial/T22-syncrules/txtest3.v rename to tutorial/ICESTICK/T22-syncrules/txtest3.v diff --git a/tutorial/T22-syncrules/txtest3_tb.gtkw b/tutorial/ICESTICK/T22-syncrules/txtest3_tb.gtkw similarity index 100% rename from tutorial/T22-syncrules/txtest3_tb.gtkw rename to tutorial/ICESTICK/T22-syncrules/txtest3_tb.gtkw diff --git a/tutorial/T22-syncrules/txtest3_tb.v b/tutorial/ICESTICK/T22-syncrules/txtest3_tb.v similarity index 100% rename from tutorial/T22-syncrules/txtest3_tb.v rename to tutorial/ICESTICK/T22-syncrules/txtest3_tb.v diff --git a/tutorial/T22-syncrules/txtest_tb.gtkw b/tutorial/ICESTICK/T22-syncrules/txtest_tb.gtkw similarity index 100% rename from tutorial/T22-syncrules/txtest_tb.gtkw rename to tutorial/ICESTICK/T22-syncrules/txtest_tb.gtkw diff --git a/tutorial/T22-syncrules/txtest_tb.v b/tutorial/ICESTICK/T22-syncrules/txtest_tb.v similarity index 100% rename from tutorial/T22-syncrules/txtest_tb.v rename to tutorial/ICESTICK/T22-syncrules/txtest_tb.v diff --git a/tutorial/T23-fsmtx/Makefile b/tutorial/ICESTICK/T23-fsmtx/Makefile similarity index 100% rename from tutorial/T23-fsmtx/Makefile rename to tutorial/ICESTICK/T23-fsmtx/Makefile diff --git a/tutorial/T23-fsmtx/baudgen.v b/tutorial/ICESTICK/T23-fsmtx/baudgen.v similarity index 100% rename from tutorial/T23-fsmtx/baudgen.v rename to tutorial/ICESTICK/T23-fsmtx/baudgen.v diff --git a/tutorial/T23-fsmtx/baudgen.vh b/tutorial/ICESTICK/T23-fsmtx/baudgen.vh similarity index 100% rename from tutorial/T23-fsmtx/baudgen.vh rename to tutorial/ICESTICK/T23-fsmtx/baudgen.vh diff --git a/tutorial/T23-fsmtx/bitstream/fsmtx.bin b/tutorial/ICESTICK/T23-fsmtx/bitstream/fsmtx.bin similarity index 100% rename from tutorial/T23-fsmtx/bitstream/fsmtx.bin rename to tutorial/ICESTICK/T23-fsmtx/bitstream/fsmtx.bin diff --git a/tutorial/T23-fsmtx/bitstream/fsmtx2.bin b/tutorial/ICESTICK/T23-fsmtx/bitstream/fsmtx2.bin similarity index 100% rename from tutorial/T23-fsmtx/bitstream/fsmtx2.bin rename to tutorial/ICESTICK/T23-fsmtx/bitstream/fsmtx2.bin diff --git a/tutorial/T23-fsmtx/divider.vh b/tutorial/ICESTICK/T23-fsmtx/divider.vh similarity index 100% rename from tutorial/T23-fsmtx/divider.vh rename to tutorial/ICESTICK/T23-fsmtx/divider.vh diff --git a/tutorial/T23-fsmtx/dividerp1.v b/tutorial/ICESTICK/T23-fsmtx/dividerp1.v similarity index 100% rename from tutorial/T23-fsmtx/dividerp1.v rename to tutorial/ICESTICK/T23-fsmtx/dividerp1.v diff --git a/tutorial/T23-fsmtx/fsmtx.pcf b/tutorial/ICESTICK/T23-fsmtx/fsmtx.pcf similarity index 100% rename from tutorial/T23-fsmtx/fsmtx.pcf rename to tutorial/ICESTICK/T23-fsmtx/fsmtx.pcf diff --git a/tutorial/T23-fsmtx/fsmtx.v b/tutorial/ICESTICK/T23-fsmtx/fsmtx.v similarity index 100% rename from tutorial/T23-fsmtx/fsmtx.v rename to tutorial/ICESTICK/T23-fsmtx/fsmtx.v diff --git a/tutorial/T23-fsmtx/fsmtx2.pcf b/tutorial/ICESTICK/T23-fsmtx/fsmtx2.pcf similarity index 100% rename from tutorial/T23-fsmtx/fsmtx2.pcf rename to tutorial/ICESTICK/T23-fsmtx/fsmtx2.pcf diff --git a/tutorial/T23-fsmtx/fsmtx2.v b/tutorial/ICESTICK/T23-fsmtx/fsmtx2.v similarity index 100% rename from tutorial/T23-fsmtx/fsmtx2.v rename to tutorial/ICESTICK/T23-fsmtx/fsmtx2.v diff --git a/tutorial/T23-fsmtx/fsmtx2_tb.gtkw b/tutorial/ICESTICK/T23-fsmtx/fsmtx2_tb.gtkw similarity index 100% rename from tutorial/T23-fsmtx/fsmtx2_tb.gtkw rename to tutorial/ICESTICK/T23-fsmtx/fsmtx2_tb.gtkw diff --git a/tutorial/T23-fsmtx/fsmtx2_tb.v b/tutorial/ICESTICK/T23-fsmtx/fsmtx2_tb.v similarity index 100% rename from tutorial/T23-fsmtx/fsmtx2_tb.v rename to tutorial/ICESTICK/T23-fsmtx/fsmtx2_tb.v diff --git a/tutorial/T23-fsmtx/fsmtx_tb.gtkw b/tutorial/ICESTICK/T23-fsmtx/fsmtx_tb.gtkw similarity index 100% rename from tutorial/T23-fsmtx/fsmtx_tb.gtkw rename to tutorial/ICESTICK/T23-fsmtx/fsmtx_tb.gtkw diff --git a/tutorial/T23-fsmtx/fsmtx_tb.v b/tutorial/ICESTICK/T23-fsmtx/fsmtx_tb.v similarity index 100% rename from tutorial/T23-fsmtx/fsmtx_tb.v rename to tutorial/ICESTICK/T23-fsmtx/fsmtx_tb.v diff --git a/tutorial/T23-fsmtx/images/fsmtx-1.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-1.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-1.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-1.png diff --git a/tutorial/T23-fsmtx/images/fsmtx-1.svg b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-1.svg similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-1.svg rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-1.svg diff --git a/tutorial/T23-fsmtx/images/fsmtx-2.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-2.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-2.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-2.png diff --git a/tutorial/T23-fsmtx/images/fsmtx-2.svg b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-2.svg similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-2.svg rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-2.svg diff --git a/tutorial/T23-fsmtx/images/fsmtx-3.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-3.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-3.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-3.png diff --git a/tutorial/T23-fsmtx/images/fsmtx-3.svg b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-3.svg similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-3.svg rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-3.svg diff --git a/tutorial/T23-fsmtx/images/fsmtx-4.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-4.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-4.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-4.png diff --git a/tutorial/T23-fsmtx/images/fsmtx-4.svg b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-4.svg similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-4.svg rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-4.svg diff --git a/tutorial/T23-fsmtx/images/fsmtx-gtkterm-1.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-gtkterm-1.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-gtkterm-1.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-gtkterm-1.png diff --git a/tutorial/T23-fsmtx/images/fsmtx-sim-1.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx-sim-1.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx-sim-1.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx-sim-1.png diff --git a/tutorial/T23-fsmtx/images/fsmtx2-1.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-1.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx2-1.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-1.png diff --git a/tutorial/T23-fsmtx/images/fsmtx2-1.svg b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-1.svg similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx2-1.svg rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-1.svg diff --git a/tutorial/T23-fsmtx/images/fsmtx2-2.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-2.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx2-2.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-2.png diff --git a/tutorial/T23-fsmtx/images/fsmtx2-2.svg b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-2.svg similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx2-2.svg rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-2.svg diff --git a/tutorial/T23-fsmtx/images/fsmtx2-gtkterm.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-gtkterm.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx2-gtkterm.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-gtkterm.png diff --git a/tutorial/T23-fsmtx/images/fsmtx2-sim.png b/tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-sim.png similarity index 100% rename from tutorial/T23-fsmtx/images/fsmtx2-sim.png rename to tutorial/ICESTICK/T23-fsmtx/images/fsmtx2-sim.png diff --git a/tutorial/T24-uart-tx/Makefile b/tutorial/ICESTICK/T24-uart-tx/Makefile similarity index 100% rename from tutorial/T24-uart-tx/Makefile rename to tutorial/ICESTICK/T24-uart-tx/Makefile diff --git a/tutorial/T24-uart-tx/baudgen.v b/tutorial/ICESTICK/T24-uart-tx/baudgen.v similarity index 100% rename from tutorial/T24-uart-tx/baudgen.v rename to tutorial/ICESTICK/T24-uart-tx/baudgen.v diff --git a/tutorial/T24-uart-tx/baudgen.vh b/tutorial/ICESTICK/T24-uart-tx/baudgen.vh similarity index 100% rename from tutorial/T24-uart-tx/baudgen.vh rename to tutorial/ICESTICK/T24-uart-tx/baudgen.vh diff --git a/tutorial/T24-uart-tx/bitstreams/scicad1.bin b/tutorial/ICESTICK/T24-uart-tx/bitstreams/scicad1.bin similarity index 100% rename from tutorial/T24-uart-tx/bitstreams/scicad1.bin rename to tutorial/ICESTICK/T24-uart-tx/bitstreams/scicad1.bin diff --git a/tutorial/T24-uart-tx/bitstreams/scicad2.bin b/tutorial/ICESTICK/T24-uart-tx/bitstreams/scicad2.bin similarity index 100% rename from tutorial/T24-uart-tx/bitstreams/scicad2.bin rename to tutorial/ICESTICK/T24-uart-tx/bitstreams/scicad2.bin diff --git a/tutorial/T24-uart-tx/divider.vh b/tutorial/ICESTICK/T24-uart-tx/divider.vh similarity index 100% rename from tutorial/T24-uart-tx/divider.vh rename to tutorial/ICESTICK/T24-uart-tx/divider.vh diff --git a/tutorial/T24-uart-tx/dividerp1.v b/tutorial/ICESTICK/T24-uart-tx/dividerp1.v similarity index 100% rename from tutorial/T24-uart-tx/dividerp1.v rename to tutorial/ICESTICK/T24-uart-tx/dividerp1.v diff --git a/tutorial/T24-uart-tx/images/scicad-1.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad-1.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-1.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-1.png diff --git a/tutorial/T24-uart-tx/images/scicad-1.svg b/tutorial/ICESTICK/T24-uart-tx/images/scicad-1.svg similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-1.svg rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-1.svg diff --git a/tutorial/T24-uart-tx/images/scicad-2.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad-2.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-2.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-2.png diff --git a/tutorial/T24-uart-tx/images/scicad-2.svg b/tutorial/ICESTICK/T24-uart-tx/images/scicad-2.svg similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-2.svg rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-2.svg diff --git a/tutorial/T24-uart-tx/images/scicad-3.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad-3.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-3.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-3.png diff --git a/tutorial/T24-uart-tx/images/scicad-3.svg b/tutorial/ICESTICK/T24-uart-tx/images/scicad-3.svg similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-3.svg rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-3.svg diff --git a/tutorial/T24-uart-tx/images/scicad-4.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad-4.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-4.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-4.png diff --git a/tutorial/T24-uart-tx/images/scicad-4.svg b/tutorial/ICESTICK/T24-uart-tx/images/scicad-4.svg similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-4.svg rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-4.svg diff --git a/tutorial/T24-uart-tx/images/scicad-5.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad-5.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-5.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-5.png diff --git a/tutorial/T24-uart-tx/images/scicad-5.svg b/tutorial/ICESTICK/T24-uart-tx/images/scicad-5.svg similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-5.svg rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-5.svg diff --git a/tutorial/T24-uart-tx/images/scicad-6.odg b/tutorial/ICESTICK/T24-uart-tx/images/scicad-6.odg similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-6.odg rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-6.odg diff --git a/tutorial/T24-uart-tx/images/scicad-6.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad-6.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad-6.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad-6.png diff --git a/tutorial/T24-uart-tx/images/scicad1-gtkterm.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad1-gtkterm.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad1-gtkterm.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad1-gtkterm.png diff --git a/tutorial/T24-uart-tx/images/scicad1-sim.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad1-sim.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad1-sim.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad1-sim.png diff --git a/tutorial/T24-uart-tx/images/scicad2-gtkterm.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad2-gtkterm.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad2-gtkterm.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad2-gtkterm.png diff --git a/tutorial/T24-uart-tx/images/scicad2-sim.png b/tutorial/ICESTICK/T24-uart-tx/images/scicad2-sim.png similarity index 100% rename from tutorial/T24-uart-tx/images/scicad2-sim.png rename to tutorial/ICESTICK/T24-uart-tx/images/scicad2-sim.png diff --git a/tutorial/T24-uart-tx/scicad1.gtkw b/tutorial/ICESTICK/T24-uart-tx/scicad1.gtkw similarity index 100% rename from tutorial/T24-uart-tx/scicad1.gtkw rename to tutorial/ICESTICK/T24-uart-tx/scicad1.gtkw diff --git a/tutorial/T24-uart-tx/scicad1.pcf b/tutorial/ICESTICK/T24-uart-tx/scicad1.pcf similarity index 100% rename from tutorial/T24-uart-tx/scicad1.pcf rename to tutorial/ICESTICK/T24-uart-tx/scicad1.pcf diff --git a/tutorial/T24-uart-tx/scicad1.v b/tutorial/ICESTICK/T24-uart-tx/scicad1.v similarity index 100% rename from tutorial/T24-uart-tx/scicad1.v rename to tutorial/ICESTICK/T24-uart-tx/scicad1.v diff --git a/tutorial/T24-uart-tx/scicad1_tb.gtkw b/tutorial/ICESTICK/T24-uart-tx/scicad1_tb.gtkw similarity index 100% rename from tutorial/T24-uart-tx/scicad1_tb.gtkw rename to tutorial/ICESTICK/T24-uart-tx/scicad1_tb.gtkw diff --git a/tutorial/T24-uart-tx/scicad1_tb.v b/tutorial/ICESTICK/T24-uart-tx/scicad1_tb.v similarity index 100% rename from tutorial/T24-uart-tx/scicad1_tb.v rename to tutorial/ICESTICK/T24-uart-tx/scicad1_tb.v diff --git a/tutorial/T24-uart-tx/scicad2.pcf b/tutorial/ICESTICK/T24-uart-tx/scicad2.pcf similarity index 100% rename from tutorial/T24-uart-tx/scicad2.pcf rename to tutorial/ICESTICK/T24-uart-tx/scicad2.pcf diff --git a/tutorial/T24-uart-tx/scicad2.v b/tutorial/ICESTICK/T24-uart-tx/scicad2.v similarity index 100% rename from tutorial/T24-uart-tx/scicad2.v rename to tutorial/ICESTICK/T24-uart-tx/scicad2.v diff --git a/tutorial/T24-uart-tx/scicad2_tb.gtkw b/tutorial/ICESTICK/T24-uart-tx/scicad2_tb.gtkw similarity index 100% rename from tutorial/T24-uart-tx/scicad2_tb.gtkw rename to tutorial/ICESTICK/T24-uart-tx/scicad2_tb.gtkw diff --git a/tutorial/T24-uart-tx/scicad2_tb.v b/tutorial/ICESTICK/T24-uart-tx/scicad2_tb.v similarity index 100% rename from tutorial/T24-uart-tx/scicad2_tb.v rename to tutorial/ICESTICK/T24-uart-tx/scicad2_tb.v diff --git a/tutorial/T24-uart-tx/uart_tx.v b/tutorial/ICESTICK/T24-uart-tx/uart_tx.v similarity index 100% rename from tutorial/T24-uart-tx/uart_tx.v rename to tutorial/ICESTICK/T24-uart-tx/uart_tx.v diff --git a/tutorial/T25-uart-rx/Makefile b/tutorial/ICESTICK/T25-uart-rx/Makefile similarity index 100% rename from tutorial/T25-uart-rx/Makefile rename to tutorial/ICESTICK/T25-uart-rx/Makefile diff --git a/tutorial/T25-uart-rx/baudgen.v b/tutorial/ICESTICK/T25-uart-rx/baudgen.v similarity index 100% rename from tutorial/T25-uart-rx/baudgen.v rename to tutorial/ICESTICK/T25-uart-rx/baudgen.v diff --git a/tutorial/T25-uart-rx/baudgen.vh b/tutorial/ICESTICK/T25-uart-rx/baudgen.vh similarity index 100% rename from tutorial/T25-uart-rx/baudgen.vh rename to tutorial/ICESTICK/T25-uart-rx/baudgen.vh diff --git a/tutorial/T25-uart-rx/baudgen_rx.v b/tutorial/ICESTICK/T25-uart-rx/baudgen_rx.v similarity index 100% rename from tutorial/T25-uart-rx/baudgen_rx.v rename to tutorial/ICESTICK/T25-uart-rx/baudgen_rx.v diff --git a/tutorial/T25-uart-rx/bitstreams/echo.bin b/tutorial/ICESTICK/T25-uart-rx/bitstreams/echo.bin similarity index 100% rename from tutorial/T25-uart-rx/bitstreams/echo.bin rename to tutorial/ICESTICK/T25-uart-rx/bitstreams/echo.bin diff --git a/tutorial/T25-uart-rx/bitstreams/rxleds.bin b/tutorial/ICESTICK/T25-uart-rx/bitstreams/rxleds.bin similarity index 100% rename from tutorial/T25-uart-rx/bitstreams/rxleds.bin rename to tutorial/ICESTICK/T25-uart-rx/bitstreams/rxleds.bin diff --git a/tutorial/T25-uart-rx/echo.pcf b/tutorial/ICESTICK/T25-uart-rx/echo.pcf similarity index 100% rename from tutorial/T25-uart-rx/echo.pcf rename to tutorial/ICESTICK/T25-uart-rx/echo.pcf diff --git a/tutorial/T25-uart-rx/echo.v b/tutorial/ICESTICK/T25-uart-rx/echo.v similarity index 100% rename from tutorial/T25-uart-rx/echo.v rename to tutorial/ICESTICK/T25-uart-rx/echo.v diff --git a/tutorial/T25-uart-rx/echo_tb.gtkw b/tutorial/ICESTICK/T25-uart-rx/echo_tb.gtkw similarity index 100% rename from tutorial/T25-uart-rx/echo_tb.gtkw rename to tutorial/ICESTICK/T25-uart-rx/echo_tb.gtkw diff --git a/tutorial/T25-uart-rx/echo_tb.v b/tutorial/ICESTICK/T25-uart-rx/echo_tb.v similarity index 100% rename from tutorial/T25-uart-rx/echo_tb.v rename to tutorial/ICESTICK/T25-uart-rx/echo_tb.v diff --git a/tutorial/T25-uart-rx/images/eco-1.png b/tutorial/ICESTICK/T25-uart-rx/images/eco-1.png similarity index 100% rename from tutorial/T25-uart-rx/images/eco-1.png rename to tutorial/ICESTICK/T25-uart-rx/images/eco-1.png diff --git a/tutorial/T25-uart-rx/images/eco-1.svg b/tutorial/ICESTICK/T25-uart-rx/images/eco-1.svg similarity index 100% rename from tutorial/T25-uart-rx/images/eco-1.svg rename to tutorial/ICESTICK/T25-uart-rx/images/eco-1.svg diff --git a/tutorial/T25-uart-rx/images/eco-gtkwave.png b/tutorial/ICESTICK/T25-uart-rx/images/eco-gtkwave.png similarity index 100% rename from tutorial/T25-uart-rx/images/eco-gtkwave.png rename to tutorial/ICESTICK/T25-uart-rx/images/eco-gtkwave.png diff --git a/tutorial/T25-uart-rx/images/eco-test.png b/tutorial/ICESTICK/T25-uart-rx/images/eco-test.png similarity index 100% rename from tutorial/T25-uart-rx/images/eco-test.png rename to tutorial/ICESTICK/T25-uart-rx/images/eco-test.png diff --git a/tutorial/T25-uart-rx/images/rxleds-1.png b/tutorial/ICESTICK/T25-uart-rx/images/rxleds-1.png similarity index 100% rename from tutorial/T25-uart-rx/images/rxleds-1.png rename to tutorial/ICESTICK/T25-uart-rx/images/rxleds-1.png diff --git a/tutorial/T25-uart-rx/images/rxleds-1.svg b/tutorial/ICESTICK/T25-uart-rx/images/rxleds-1.svg similarity index 100% rename from tutorial/T25-uart-rx/images/rxleds-1.svg rename to tutorial/ICESTICK/T25-uart-rx/images/rxleds-1.svg diff --git a/tutorial/T25-uart-rx/images/rxleds-gtkwave.png b/tutorial/ICESTICK/T25-uart-rx/images/rxleds-gtkwave.png similarity index 100% rename from tutorial/T25-uart-rx/images/rxleds-gtkwave.png rename to tutorial/ICESTICK/T25-uart-rx/images/rxleds-gtkwave.png diff --git a/tutorial/T25-uart-rx/images/rxleds-orig.svg b/tutorial/ICESTICK/T25-uart-rx/images/rxleds-orig.svg similarity index 100% rename from tutorial/T25-uart-rx/images/rxleds-orig.svg rename to tutorial/ICESTICK/T25-uart-rx/images/rxleds-orig.svg diff --git a/tutorial/T25-uart-rx/images/rxleds-test.png b/tutorial/ICESTICK/T25-uart-rx/images/rxleds-test.png similarity index 100% rename from tutorial/T25-uart-rx/images/rxleds-test.png rename to tutorial/ICESTICK/T25-uart-rx/images/rxleds-test.png diff --git a/tutorial/T25-uart-rx/images/uart-rx-1.png b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-1.png similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-1.png rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-1.png diff --git a/tutorial/T25-uart-rx/images/uart-rx-1.svg b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-1.svg similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-1.svg rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-1.svg diff --git a/tutorial/T25-uart-rx/images/uart-rx-2.png b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-2.png similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-2.png rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-2.png diff --git a/tutorial/T25-uart-rx/images/uart-rx-2.svg b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-2.svg similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-2.svg rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-2.svg diff --git a/tutorial/T25-uart-rx/images/uart-rx-3.png b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-3.png similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-3.png rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-3.png diff --git a/tutorial/T25-uart-rx/images/uart-rx-3.svg b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-3.svg similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-3.svg rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-3.svg diff --git a/tutorial/T25-uart-rx/images/uart-rx-4.png b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-4.png similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-4.png rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-4.png diff --git a/tutorial/T25-uart-rx/images/uart-rx-4.svg b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-4.svg similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-4.svg rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-4.svg diff --git a/tutorial/T25-uart-rx/images/uart-rx-5.png b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-5.png similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-5.png rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-5.png diff --git a/tutorial/T25-uart-rx/images/uart-rx-5.svg b/tutorial/ICESTICK/T25-uart-rx/images/uart-rx-5.svg similarity index 100% rename from tutorial/T25-uart-rx/images/uart-rx-5.svg rename to tutorial/ICESTICK/T25-uart-rx/images/uart-rx-5.svg diff --git a/tutorial/T25-uart-rx/rxleds.pcf b/tutorial/ICESTICK/T25-uart-rx/rxleds.pcf similarity index 100% rename from tutorial/T25-uart-rx/rxleds.pcf rename to tutorial/ICESTICK/T25-uart-rx/rxleds.pcf diff --git a/tutorial/T25-uart-rx/rxleds.v b/tutorial/ICESTICK/T25-uart-rx/rxleds.v similarity index 100% rename from tutorial/T25-uart-rx/rxleds.v rename to tutorial/ICESTICK/T25-uart-rx/rxleds.v diff --git a/tutorial/T25-uart-rx/rxleds_tb.gtkw b/tutorial/ICESTICK/T25-uart-rx/rxleds_tb.gtkw similarity index 100% rename from tutorial/T25-uart-rx/rxleds_tb.gtkw rename to tutorial/ICESTICK/T25-uart-rx/rxleds_tb.gtkw diff --git a/tutorial/T25-uart-rx/rxleds_tb.v b/tutorial/ICESTICK/T25-uart-rx/rxleds_tb.v similarity index 100% rename from tutorial/T25-uart-rx/rxleds_tb.v rename to tutorial/ICESTICK/T25-uart-rx/rxleds_tb.v diff --git a/tutorial/T25-uart-rx/uart_rx.v b/tutorial/ICESTICK/T25-uart-rx/uart_rx.v similarity index 100% rename from tutorial/T25-uart-rx/uart_rx.v rename to tutorial/ICESTICK/T25-uart-rx/uart_rx.v diff --git a/tutorial/T25-uart-rx/uart_tx.v b/tutorial/ICESTICK/T25-uart-rx/uart_tx.v similarity index 100% rename from tutorial/T25-uart-rx/uart_tx.v rename to tutorial/ICESTICK/T25-uart-rx/uart_tx.v diff --git a/tutorial/T26-rom/Makefile b/tutorial/ICESTICK/T26-rom/Makefile similarity index 100% rename from tutorial/T26-rom/Makefile rename to tutorial/ICESTICK/T26-rom/Makefile diff --git a/tutorial/T26-rom/bitstreams/romhw.bin b/tutorial/ICESTICK/T26-rom/bitstreams/romhw.bin similarity index 100% rename from tutorial/T26-rom/bitstreams/romhw.bin rename to tutorial/ICESTICK/T26-rom/bitstreams/romhw.bin diff --git a/tutorial/T26-rom/bitstreams/romleds.bin b/tutorial/ICESTICK/T26-rom/bitstreams/romleds.bin similarity index 100% rename from tutorial/T26-rom/bitstreams/romleds.bin rename to tutorial/ICESTICK/T26-rom/bitstreams/romleds.bin diff --git a/tutorial/T26-rom/bitstreams/romleds2_rom1.bin b/tutorial/ICESTICK/T26-rom/bitstreams/romleds2_rom1.bin similarity index 100% rename from tutorial/T26-rom/bitstreams/romleds2_rom1.bin rename to tutorial/ICESTICK/T26-rom/bitstreams/romleds2_rom1.bin diff --git a/tutorial/T26-rom/bitstreams/romleds2_rom2.bin b/tutorial/ICESTICK/T26-rom/bitstreams/romleds2_rom2.bin similarity index 100% rename from tutorial/T26-rom/bitstreams/romleds2_rom2.bin rename to tutorial/ICESTICK/T26-rom/bitstreams/romleds2_rom2.bin diff --git a/tutorial/T26-rom/divider.vh b/tutorial/ICESTICK/T26-rom/divider.vh similarity index 100% rename from tutorial/T26-rom/divider.vh rename to tutorial/ICESTICK/T26-rom/divider.vh diff --git a/tutorial/T26-rom/dividerp1.v b/tutorial/ICESTICK/T26-rom/dividerp1.v similarity index 100% rename from tutorial/T26-rom/dividerp1.v rename to tutorial/ICESTICK/T26-rom/dividerp1.v diff --git a/tutorial/T26-rom/images/rom32x4-1.png b/tutorial/ICESTICK/T26-rom/images/rom32x4-1.png similarity index 100% rename from tutorial/T26-rom/images/rom32x4-1.png rename to tutorial/ICESTICK/T26-rom/images/rom32x4-1.png diff --git a/tutorial/T26-rom/images/rom32x4-1.svg b/tutorial/ICESTICK/T26-rom/images/rom32x4-1.svg similarity index 100% rename from tutorial/T26-rom/images/rom32x4-1.svg rename to tutorial/ICESTICK/T26-rom/images/rom32x4-1.svg diff --git a/tutorial/T26-rom/images/rom32x4-2.png b/tutorial/ICESTICK/T26-rom/images/rom32x4-2.png similarity index 100% rename from tutorial/T26-rom/images/rom32x4-2.png rename to tutorial/ICESTICK/T26-rom/images/rom32x4-2.png diff --git a/tutorial/T26-rom/images/rom32x4-2.svg b/tutorial/ICESTICK/T26-rom/images/rom32x4-2.svg similarity index 100% rename from tutorial/T26-rom/images/rom32x4-2.svg rename to tutorial/ICESTICK/T26-rom/images/rom32x4-2.svg diff --git a/tutorial/T26-rom/images/rom32x4-3.svg b/tutorial/ICESTICK/T26-rom/images/rom32x4-3.svg similarity index 100% rename from tutorial/T26-rom/images/rom32x4-3.svg rename to tutorial/ICESTICK/T26-rom/images/rom32x4-3.svg diff --git a/tutorial/T26-rom/images/rom32x4-4.png b/tutorial/ICESTICK/T26-rom/images/rom32x4-4.png similarity index 100% rename from tutorial/T26-rom/images/rom32x4-4.png rename to tutorial/ICESTICK/T26-rom/images/rom32x4-4.png diff --git a/tutorial/T26-rom/images/romhw-1.png b/tutorial/ICESTICK/T26-rom/images/romhw-1.png similarity index 100% rename from tutorial/T26-rom/images/romhw-1.png rename to tutorial/ICESTICK/T26-rom/images/romhw-1.png diff --git a/tutorial/T26-rom/images/romhw-1.svg b/tutorial/ICESTICK/T26-rom/images/romhw-1.svg similarity index 100% rename from tutorial/T26-rom/images/romhw-1.svg rename to tutorial/ICESTICK/T26-rom/images/romhw-1.svg diff --git a/tutorial/T26-rom/images/romhw-sim.png b/tutorial/ICESTICK/T26-rom/images/romhw-sim.png similarity index 100% rename from tutorial/T26-rom/images/romhw-sim.png rename to tutorial/ICESTICK/T26-rom/images/romhw-sim.png diff --git a/tutorial/T26-rom/images/romhw-test.png b/tutorial/ICESTICK/T26-rom/images/romhw-test.png similarity index 100% rename from tutorial/T26-rom/images/romhw-test.png rename to tutorial/ICESTICK/T26-rom/images/romhw-test.png diff --git a/tutorial/T26-rom/images/romleds-1.png b/tutorial/ICESTICK/T26-rom/images/romleds-1.png similarity index 100% rename from tutorial/T26-rom/images/romleds-1.png rename to tutorial/ICESTICK/T26-rom/images/romleds-1.png diff --git a/tutorial/T26-rom/images/romleds-1.svg b/tutorial/ICESTICK/T26-rom/images/romleds-1.svg similarity index 100% rename from tutorial/T26-rom/images/romleds-1.svg rename to tutorial/ICESTICK/T26-rom/images/romleds-1.svg diff --git a/tutorial/T26-rom/images/romleds-sim.png b/tutorial/ICESTICK/T26-rom/images/romleds-sim.png similarity index 100% rename from tutorial/T26-rom/images/romleds-sim.png rename to tutorial/ICESTICK/T26-rom/images/romleds-sim.png diff --git a/tutorial/T26-rom/images/romleds2-sim1.png b/tutorial/ICESTICK/T26-rom/images/romleds2-sim1.png similarity index 100% rename from tutorial/T26-rom/images/romleds2-sim1.png rename to tutorial/ICESTICK/T26-rom/images/romleds2-sim1.png diff --git a/tutorial/T26-rom/images/romleds2-sim2.png b/tutorial/ICESTICK/T26-rom/images/romleds2-sim2.png similarity index 100% rename from tutorial/T26-rom/images/romleds2-sim2.png rename to tutorial/ICESTICK/T26-rom/images/romleds2-sim2.png diff --git a/tutorial/T26-rom/rom1.list b/tutorial/ICESTICK/T26-rom/rom1.list similarity index 100% rename from tutorial/T26-rom/rom1.list rename to tutorial/ICESTICK/T26-rom/rom1.list diff --git a/tutorial/T26-rom/rom16x4.v b/tutorial/ICESTICK/T26-rom/rom16x4.v similarity index 100% rename from tutorial/T26-rom/rom16x4.v rename to tutorial/ICESTICK/T26-rom/rom16x4.v diff --git a/tutorial/T26-rom/rom2.list b/tutorial/ICESTICK/T26-rom/rom2.list similarity index 100% rename from tutorial/T26-rom/rom2.list rename to tutorial/ICESTICK/T26-rom/rom2.list diff --git a/tutorial/T26-rom/rom32x4.v b/tutorial/ICESTICK/T26-rom/rom32x4.v similarity index 100% rename from tutorial/T26-rom/rom32x4.v rename to tutorial/ICESTICK/T26-rom/rom32x4.v diff --git a/tutorial/T26-rom/romfile16x4.v b/tutorial/ICESTICK/T26-rom/romfile16x4.v similarity index 100% rename from tutorial/T26-rom/romfile16x4.v rename to tutorial/ICESTICK/T26-rom/romfile16x4.v diff --git a/tutorial/T26-rom/romhw.pcf b/tutorial/ICESTICK/T26-rom/romhw.pcf similarity index 100% rename from tutorial/T26-rom/romhw.pcf rename to tutorial/ICESTICK/T26-rom/romhw.pcf diff --git a/tutorial/T26-rom/romhw.v b/tutorial/ICESTICK/T26-rom/romhw.v similarity index 100% rename from tutorial/T26-rom/romhw.v rename to tutorial/ICESTICK/T26-rom/romhw.v diff --git a/tutorial/T26-rom/romhw_tb.gtkw b/tutorial/ICESTICK/T26-rom/romhw_tb.gtkw similarity index 100% rename from tutorial/T26-rom/romhw_tb.gtkw rename to tutorial/ICESTICK/T26-rom/romhw_tb.gtkw diff --git a/tutorial/T26-rom/romhw_tb.v b/tutorial/ICESTICK/T26-rom/romhw_tb.v similarity index 100% rename from tutorial/T26-rom/romhw_tb.v rename to tutorial/ICESTICK/T26-rom/romhw_tb.v diff --git a/tutorial/T26-rom/romleds.pcf b/tutorial/ICESTICK/T26-rom/romleds.pcf similarity index 100% rename from tutorial/T26-rom/romleds.pcf rename to tutorial/ICESTICK/T26-rom/romleds.pcf diff --git a/tutorial/T26-rom/romleds.v b/tutorial/ICESTICK/T26-rom/romleds.v similarity index 100% rename from tutorial/T26-rom/romleds.v rename to tutorial/ICESTICK/T26-rom/romleds.v diff --git a/tutorial/T26-rom/romleds2.pcf b/tutorial/ICESTICK/T26-rom/romleds2.pcf similarity index 100% rename from tutorial/T26-rom/romleds2.pcf rename to tutorial/ICESTICK/T26-rom/romleds2.pcf diff --git a/tutorial/T26-rom/romleds2.v b/tutorial/ICESTICK/T26-rom/romleds2.v similarity index 100% rename from tutorial/T26-rom/romleds2.v rename to tutorial/ICESTICK/T26-rom/romleds2.v diff --git a/tutorial/T26-rom/romleds2_tb.gtkw b/tutorial/ICESTICK/T26-rom/romleds2_tb.gtkw similarity index 100% rename from tutorial/T26-rom/romleds2_tb.gtkw rename to tutorial/ICESTICK/T26-rom/romleds2_tb.gtkw diff --git a/tutorial/T26-rom/romleds2_tb.v b/tutorial/ICESTICK/T26-rom/romleds2_tb.v similarity index 100% rename from tutorial/T26-rom/romleds2_tb.v rename to tutorial/ICESTICK/T26-rom/romleds2_tb.v diff --git a/tutorial/T26-rom/romleds_tb.gtkw b/tutorial/ICESTICK/T26-rom/romleds_tb.gtkw similarity index 100% rename from tutorial/T26-rom/romleds_tb.gtkw rename to tutorial/ICESTICK/T26-rom/romleds_tb.gtkw diff --git a/tutorial/T26-rom/romleds_tb.v b/tutorial/ICESTICK/T26-rom/romleds_tb.v similarity index 100% rename from tutorial/T26-rom/romleds_tb.v rename to tutorial/ICESTICK/T26-rom/romleds_tb.v diff --git a/tutorial/T27-rom-param/Makefile b/tutorial/ICESTICK/T27-rom-param/Makefile similarity index 100% rename from tutorial/T27-rom-param/Makefile rename to tutorial/ICESTICK/T27-rom-param/Makefile diff --git a/tutorial/T27-rom-param/bitstreams/genromleds.bin b/tutorial/ICESTICK/T27-rom-param/bitstreams/genromleds.bin similarity index 100% rename from tutorial/T27-rom-param/bitstreams/genromleds.bin rename to tutorial/ICESTICK/T27-rom-param/bitstreams/genromleds.bin diff --git a/tutorial/T27-rom-param/bitstreams/romnotes.bin b/tutorial/ICESTICK/T27-rom-param/bitstreams/romnotes.bin similarity index 100% rename from tutorial/T27-rom-param/bitstreams/romnotes.bin rename to tutorial/ICESTICK/T27-rom-param/bitstreams/romnotes.bin diff --git a/tutorial/T27-rom-param/divider.vh b/tutorial/ICESTICK/T27-rom-param/divider.vh similarity index 100% rename from tutorial/T27-rom-param/divider.vh rename to tutorial/ICESTICK/T27-rom-param/divider.vh diff --git a/tutorial/T27-rom-param/dividerp1.v b/tutorial/ICESTICK/T27-rom-param/dividerp1.v similarity index 100% rename from tutorial/T27-rom-param/dividerp1.v rename to tutorial/ICESTICK/T27-rom-param/dividerp1.v diff --git a/tutorial/T27-rom-param/genrom.v b/tutorial/ICESTICK/T27-rom-param/genrom.v similarity index 100% rename from tutorial/T27-rom-param/genrom.v rename to tutorial/ICESTICK/T27-rom-param/genrom.v diff --git a/tutorial/T27-rom-param/genromleds.pcf b/tutorial/ICESTICK/T27-rom-param/genromleds.pcf similarity index 100% rename from tutorial/T27-rom-param/genromleds.pcf rename to tutorial/ICESTICK/T27-rom-param/genromleds.pcf diff --git a/tutorial/T27-rom-param/genromleds.v b/tutorial/ICESTICK/T27-rom-param/genromleds.v similarity index 100% rename from tutorial/T27-rom-param/genromleds.v rename to tutorial/ICESTICK/T27-rom-param/genromleds.v diff --git a/tutorial/T27-rom-param/genromleds_tb.gtkw b/tutorial/ICESTICK/T27-rom-param/genromleds_tb.gtkw similarity index 100% rename from tutorial/T27-rom-param/genromleds_tb.gtkw rename to tutorial/ICESTICK/T27-rom-param/genromleds_tb.gtkw diff --git a/tutorial/T27-rom-param/genromleds_tb.v b/tutorial/ICESTICK/T27-rom-param/genromleds_tb.v similarity index 100% rename from tutorial/T27-rom-param/genromleds_tb.v rename to tutorial/ICESTICK/T27-rom-param/genromleds_tb.v diff --git a/tutorial/T27-rom-param/images/genrom-1.png b/tutorial/ICESTICK/T27-rom-param/images/genrom-1.png similarity index 100% rename from tutorial/T27-rom-param/images/genrom-1.png rename to tutorial/ICESTICK/T27-rom-param/images/genrom-1.png diff --git a/tutorial/T27-rom-param/images/genrom-1.svg b/tutorial/ICESTICK/T27-rom-param/images/genrom-1.svg similarity index 100% rename from tutorial/T27-rom-param/images/genrom-1.svg rename to tutorial/ICESTICK/T27-rom-param/images/genrom-1.svg diff --git a/tutorial/T27-rom-param/images/genrom-2.png b/tutorial/ICESTICK/T27-rom-param/images/genrom-2.png similarity index 100% rename from tutorial/T27-rom-param/images/genrom-2.png rename to tutorial/ICESTICK/T27-rom-param/images/genrom-2.png diff --git a/tutorial/T27-rom-param/images/genrom-2.svg b/tutorial/ICESTICK/T27-rom-param/images/genrom-2.svg similarity index 100% rename from tutorial/T27-rom-param/images/genrom-2.svg rename to tutorial/ICESTICK/T27-rom-param/images/genrom-2.svg diff --git a/tutorial/T27-rom-param/images/genrom-3.png b/tutorial/ICESTICK/T27-rom-param/images/genrom-3.png similarity index 100% rename from tutorial/T27-rom-param/images/genrom-3.png rename to tutorial/ICESTICK/T27-rom-param/images/genrom-3.png diff --git a/tutorial/T27-rom-param/images/genrom-3.svg b/tutorial/ICESTICK/T27-rom-param/images/genrom-3.svg similarity index 100% rename from tutorial/T27-rom-param/images/genrom-3.svg rename to tutorial/ICESTICK/T27-rom-param/images/genrom-3.svg diff --git a/tutorial/T27-rom-param/images/genrom-sim-1.png b/tutorial/ICESTICK/T27-rom-param/images/genrom-sim-1.png similarity index 100% rename from tutorial/T27-rom-param/images/genrom-sim-1.png rename to tutorial/ICESTICK/T27-rom-param/images/genrom-sim-1.png diff --git a/tutorial/T27-rom-param/images/romnotes-1.png b/tutorial/ICESTICK/T27-rom-param/images/romnotes-1.png similarity index 100% rename from tutorial/T27-rom-param/images/romnotes-1.png rename to tutorial/ICESTICK/T27-rom-param/images/romnotes-1.png diff --git a/tutorial/T27-rom-param/images/romnotes-1.svg b/tutorial/ICESTICK/T27-rom-param/images/romnotes-1.svg similarity index 100% rename from tutorial/T27-rom-param/images/romnotes-1.svg rename to tutorial/ICESTICK/T27-rom-param/images/romnotes-1.svg diff --git a/tutorial/T27-rom-param/images/romnotes-sim-1.png b/tutorial/ICESTICK/T27-rom-param/images/romnotes-sim-1.png similarity index 100% rename from tutorial/T27-rom-param/images/romnotes-sim-1.png rename to tutorial/ICESTICK/T27-rom-param/images/romnotes-sim-1.png diff --git a/tutorial/T27-rom-param/imperial.list b/tutorial/ICESTICK/T27-rom-param/imperial.list similarity index 100% rename from tutorial/T27-rom-param/imperial.list rename to tutorial/ICESTICK/T27-rom-param/imperial.list diff --git a/tutorial/T27-rom-param/notas_gen.py b/tutorial/ICESTICK/T27-rom-param/notas_gen.py similarity index 100% rename from tutorial/T27-rom-param/notas_gen.py rename to tutorial/ICESTICK/T27-rom-param/notas_gen.py diff --git a/tutorial/T27-rom-param/notegen.v b/tutorial/ICESTICK/T27-rom-param/notegen.v similarity index 100% rename from tutorial/T27-rom-param/notegen.v rename to tutorial/ICESTICK/T27-rom-param/notegen.v diff --git a/tutorial/T27-rom-param/notegen.vh b/tutorial/ICESTICK/T27-rom-param/notegen.vh similarity index 100% rename from tutorial/T27-rom-param/notegen.vh rename to tutorial/ICESTICK/T27-rom-param/notegen.vh diff --git a/tutorial/T27-rom-param/rom1.list b/tutorial/ICESTICK/T27-rom-param/rom1.list similarity index 100% rename from tutorial/T27-rom-param/rom1.list rename to tutorial/ICESTICK/T27-rom-param/rom1.list diff --git a/tutorial/T27-rom-param/romnotes.pcf b/tutorial/ICESTICK/T27-rom-param/romnotes.pcf similarity index 100% rename from tutorial/T27-rom-param/romnotes.pcf rename to tutorial/ICESTICK/T27-rom-param/romnotes.pcf diff --git a/tutorial/T27-rom-param/romnotes.v b/tutorial/ICESTICK/T27-rom-param/romnotes.v similarity index 100% rename from tutorial/T27-rom-param/romnotes.v rename to tutorial/ICESTICK/T27-rom-param/romnotes.v diff --git a/tutorial/T27-rom-param/romnotes_tb.gtkw b/tutorial/ICESTICK/T27-rom-param/romnotes_tb.gtkw similarity index 100% rename from tutorial/T27-rom-param/romnotes_tb.gtkw rename to tutorial/ICESTICK/T27-rom-param/romnotes_tb.gtkw diff --git a/tutorial/T27-rom-param/romnotes_tb.v b/tutorial/ICESTICK/T27-rom-param/romnotes_tb.v similarity index 100% rename from tutorial/T27-rom-param/romnotes_tb.v rename to tutorial/ICESTICK/T27-rom-param/romnotes_tb.v diff --git a/tutorial/T28-ram/Makefile b/tutorial/ICESTICK/T28-ram/Makefile similarity index 100% rename from tutorial/T28-ram/Makefile rename to tutorial/ICESTICK/T28-ram/Makefile diff --git a/tutorial/T28-ram/baudgen.v b/tutorial/ICESTICK/T28-ram/baudgen.v similarity index 100% rename from tutorial/T28-ram/baudgen.v rename to tutorial/ICESTICK/T28-ram/baudgen.v diff --git a/tutorial/T28-ram/baudgen.vh b/tutorial/ICESTICK/T28-ram/baudgen.vh similarity index 100% rename from tutorial/T28-ram/baudgen.vh rename to tutorial/ICESTICK/T28-ram/baudgen.vh diff --git a/tutorial/T28-ram/baudgen_rx.v b/tutorial/ICESTICK/T28-ram/baudgen_rx.v similarity index 100% rename from tutorial/T28-ram/baudgen_rx.v rename to tutorial/ICESTICK/T28-ram/baudgen_rx.v diff --git a/tutorial/T28-ram/bitstreams/buffer.bin b/tutorial/ICESTICK/T28-ram/bitstreams/buffer.bin similarity index 100% rename from tutorial/T28-ram/bitstreams/buffer.bin rename to tutorial/ICESTICK/T28-ram/bitstreams/buffer.bin diff --git a/tutorial/T28-ram/buffer.pcf b/tutorial/ICESTICK/T28-ram/buffer.pcf similarity index 100% rename from tutorial/T28-ram/buffer.pcf rename to tutorial/ICESTICK/T28-ram/buffer.pcf diff --git a/tutorial/T28-ram/buffer.v b/tutorial/ICESTICK/T28-ram/buffer.v similarity index 100% rename from tutorial/T28-ram/buffer.v rename to tutorial/ICESTICK/T28-ram/buffer.v diff --git a/tutorial/T28-ram/buffer_tb.gtkw b/tutorial/ICESTICK/T28-ram/buffer_tb.gtkw similarity index 100% rename from tutorial/T28-ram/buffer_tb.gtkw rename to tutorial/ICESTICK/T28-ram/buffer_tb.gtkw diff --git a/tutorial/T28-ram/buffer_tb.v b/tutorial/ICESTICK/T28-ram/buffer_tb.v similarity index 100% rename from tutorial/T28-ram/buffer_tb.v rename to tutorial/ICESTICK/T28-ram/buffer_tb.v diff --git a/tutorial/T28-ram/bufferini.list b/tutorial/ICESTICK/T28-ram/bufferini.list similarity index 100% rename from tutorial/T28-ram/bufferini.list rename to tutorial/ICESTICK/T28-ram/bufferini.list diff --git a/tutorial/T28-ram/bufferini_numbers.list b/tutorial/ICESTICK/T28-ram/bufferini_numbers.list similarity index 100% rename from tutorial/T28-ram/bufferini_numbers.list rename to tutorial/ICESTICK/T28-ram/bufferini_numbers.list diff --git a/tutorial/T28-ram/char2rom.py b/tutorial/ICESTICK/T28-ram/char2rom.py similarity index 100% rename from tutorial/T28-ram/char2rom.py rename to tutorial/ICESTICK/T28-ram/char2rom.py diff --git a/tutorial/T28-ram/genram.v b/tutorial/ICESTICK/T28-ram/genram.v similarity index 100% rename from tutorial/T28-ram/genram.v rename to tutorial/ICESTICK/T28-ram/genram.v diff --git a/tutorial/T28-ram/images/buffer-1.png b/tutorial/ICESTICK/T28-ram/images/buffer-1.png similarity index 100% rename from tutorial/T28-ram/images/buffer-1.png rename to tutorial/ICESTICK/T28-ram/images/buffer-1.png diff --git a/tutorial/T28-ram/images/buffer-1.svg b/tutorial/ICESTICK/T28-ram/images/buffer-1.svg similarity index 100% rename from tutorial/T28-ram/images/buffer-1.svg rename to tutorial/ICESTICK/T28-ram/images/buffer-1.svg diff --git a/tutorial/T28-ram/images/buffer-2.png b/tutorial/ICESTICK/T28-ram/images/buffer-2.png similarity index 100% rename from tutorial/T28-ram/images/buffer-2.png rename to tutorial/ICESTICK/T28-ram/images/buffer-2.png diff --git a/tutorial/T28-ram/images/buffer-2.svg b/tutorial/ICESTICK/T28-ram/images/buffer-2.svg similarity index 100% rename from tutorial/T28-ram/images/buffer-2.svg rename to tutorial/ICESTICK/T28-ram/images/buffer-2.svg diff --git a/tutorial/T28-ram/images/buffer-sim-1.png b/tutorial/ICESTICK/T28-ram/images/buffer-sim-1.png similarity index 100% rename from tutorial/T28-ram/images/buffer-sim-1.png rename to tutorial/ICESTICK/T28-ram/images/buffer-sim-1.png diff --git a/tutorial/T28-ram/images/buffer-sim-2.png b/tutorial/ICESTICK/T28-ram/images/buffer-sim-2.png similarity index 100% rename from tutorial/T28-ram/images/buffer-sim-2.png rename to tutorial/ICESTICK/T28-ram/images/buffer-sim-2.png diff --git a/tutorial/T28-ram/images/buffer-sim-3.png b/tutorial/ICESTICK/T28-ram/images/buffer-sim-3.png similarity index 100% rename from tutorial/T28-ram/images/buffer-sim-3.png rename to tutorial/ICESTICK/T28-ram/images/buffer-sim-3.png diff --git a/tutorial/T28-ram/images/buffer-test-1.png b/tutorial/ICESTICK/T28-ram/images/buffer-test-1.png similarity index 100% rename from tutorial/T28-ram/images/buffer-test-1.png rename to tutorial/ICESTICK/T28-ram/images/buffer-test-1.png diff --git a/tutorial/T28-ram/images/genram-1.png b/tutorial/ICESTICK/T28-ram/images/genram-1.png similarity index 100% rename from tutorial/T28-ram/images/genram-1.png rename to tutorial/ICESTICK/T28-ram/images/genram-1.png diff --git a/tutorial/T28-ram/images/genram-1.svg b/tutorial/ICESTICK/T28-ram/images/genram-1.svg similarity index 100% rename from tutorial/T28-ram/images/genram-1.svg rename to tutorial/ICESTICK/T28-ram/images/genram-1.svg diff --git a/tutorial/T28-ram/images/genram-2.png b/tutorial/ICESTICK/T28-ram/images/genram-2.png similarity index 100% rename from tutorial/T28-ram/images/genram-2.png rename to tutorial/ICESTICK/T28-ram/images/genram-2.png diff --git a/tutorial/T28-ram/images/genram-2.svg b/tutorial/ICESTICK/T28-ram/images/genram-2.svg similarity index 100% rename from tutorial/T28-ram/images/genram-2.svg rename to tutorial/ICESTICK/T28-ram/images/genram-2.svg diff --git a/tutorial/T28-ram/uart_rx.v b/tutorial/ICESTICK/T28-ram/uart_rx.v similarity index 100% rename from tutorial/T28-ram/uart_rx.v rename to tutorial/ICESTICK/T28-ram/uart_rx.v diff --git a/tutorial/T28-ram/uart_tx.v b/tutorial/ICESTICK/T28-ram/uart_tx.v similarity index 100% rename from tutorial/T28-ram/uart_tx.v rename to tutorial/ICESTICK/T28-ram/uart_tx.v diff --git a/tutorial/T29-tristate/Makefile b/tutorial/ICESTICK/T29-tristate/Makefile similarity index 100% rename from tutorial/T29-tristate/Makefile rename to tutorial/ICESTICK/T29-tristate/Makefile diff --git a/tutorial/T29-tristate/bitstreams/tristate1.bin b/tutorial/ICESTICK/T29-tristate/bitstreams/tristate1.bin similarity index 100% rename from tutorial/T29-tristate/bitstreams/tristate1.bin rename to tutorial/ICESTICK/T29-tristate/bitstreams/tristate1.bin diff --git a/tutorial/T29-tristate/bitstreams/tristate2.bin b/tutorial/ICESTICK/T29-tristate/bitstreams/tristate2.bin similarity index 100% rename from tutorial/T29-tristate/bitstreams/tristate2.bin rename to tutorial/ICESTICK/T29-tristate/bitstreams/tristate2.bin diff --git a/tutorial/T29-tristate/divider.v b/tutorial/ICESTICK/T29-tristate/divider.v similarity index 100% rename from tutorial/T29-tristate/divider.v rename to tutorial/ICESTICK/T29-tristate/divider.v diff --git a/tutorial/T29-tristate/divider.vh b/tutorial/ICESTICK/T29-tristate/divider.vh similarity index 100% rename from tutorial/T29-tristate/divider.vh rename to tutorial/ICESTICK/T29-tristate/divider.vh diff --git a/tutorial/T29-tristate/dividerp1.v b/tutorial/ICESTICK/T29-tristate/dividerp1.v similarity index 100% rename from tutorial/T29-tristate/dividerp1.v rename to tutorial/ICESTICK/T29-tristate/dividerp1.v diff --git a/tutorial/T29-tristate/error1.pcf b/tutorial/ICESTICK/T29-tristate/error1.pcf similarity index 100% rename from tutorial/T29-tristate/error1.pcf rename to tutorial/ICESTICK/T29-tristate/error1.pcf diff --git a/tutorial/T29-tristate/error1.v b/tutorial/ICESTICK/T29-tristate/error1.v similarity index 100% rename from tutorial/T29-tristate/error1.v rename to tutorial/ICESTICK/T29-tristate/error1.v diff --git a/tutorial/T29-tristate/error1_tb.gtkw b/tutorial/ICESTICK/T29-tristate/error1_tb.gtkw similarity index 100% rename from tutorial/T29-tristate/error1_tb.gtkw rename to tutorial/ICESTICK/T29-tristate/error1_tb.gtkw diff --git a/tutorial/T29-tristate/error1_tb.v b/tutorial/ICESTICK/T29-tristate/error1_tb.v similarity index 100% rename from tutorial/T29-tristate/error1_tb.v rename to tutorial/ICESTICK/T29-tristate/error1_tb.v diff --git a/tutorial/T29-tristate/error2.pcf b/tutorial/ICESTICK/T29-tristate/error2.pcf similarity index 100% rename from tutorial/T29-tristate/error2.pcf rename to tutorial/ICESTICK/T29-tristate/error2.pcf diff --git a/tutorial/T29-tristate/error2.v b/tutorial/ICESTICK/T29-tristate/error2.v similarity index 100% rename from tutorial/T29-tristate/error2.v rename to tutorial/ICESTICK/T29-tristate/error2.v diff --git a/tutorial/T29-tristate/error2_tb.gtkw b/tutorial/ICESTICK/T29-tristate/error2_tb.gtkw similarity index 100% rename from tutorial/T29-tristate/error2_tb.gtkw rename to tutorial/ICESTICK/T29-tristate/error2_tb.gtkw diff --git a/tutorial/T29-tristate/error2_tb.v b/tutorial/ICESTICK/T29-tristate/error2_tb.v similarity index 100% rename from tutorial/T29-tristate/error2_tb.v rename to tutorial/ICESTICK/T29-tristate/error2_tb.v diff --git a/tutorial/T29-tristate/images/error1-1.png b/tutorial/ICESTICK/T29-tristate/images/error1-1.png similarity index 100% rename from tutorial/T29-tristate/images/error1-1.png rename to tutorial/ICESTICK/T29-tristate/images/error1-1.png diff --git a/tutorial/T29-tristate/images/error1-1.svg b/tutorial/ICESTICK/T29-tristate/images/error1-1.svg similarity index 100% rename from tutorial/T29-tristate/images/error1-1.svg rename to tutorial/ICESTICK/T29-tristate/images/error1-1.svg diff --git a/tutorial/T29-tristate/images/error1-sim.png b/tutorial/ICESTICK/T29-tristate/images/error1-sim.png similarity index 100% rename from tutorial/T29-tristate/images/error1-sim.png rename to tutorial/ICESTICK/T29-tristate/images/error1-sim.png diff --git a/tutorial/T29-tristate/images/error2-1.png b/tutorial/ICESTICK/T29-tristate/images/error2-1.png similarity index 100% rename from tutorial/T29-tristate/images/error2-1.png rename to tutorial/ICESTICK/T29-tristate/images/error2-1.png diff --git a/tutorial/T29-tristate/images/error2-1.svg b/tutorial/ICESTICK/T29-tristate/images/error2-1.svg similarity index 100% rename from tutorial/T29-tristate/images/error2-1.svg rename to tutorial/ICESTICK/T29-tristate/images/error2-1.svg diff --git a/tutorial/T29-tristate/images/tristate-1.png b/tutorial/ICESTICK/T29-tristate/images/tristate-1.png similarity index 100% rename from tutorial/T29-tristate/images/tristate-1.png rename to tutorial/ICESTICK/T29-tristate/images/tristate-1.png diff --git a/tutorial/T29-tristate/images/tristate-1.svg b/tutorial/ICESTICK/T29-tristate/images/tristate-1.svg similarity index 100% rename from tutorial/T29-tristate/images/tristate-1.svg rename to tutorial/ICESTICK/T29-tristate/images/tristate-1.svg diff --git a/tutorial/T29-tristate/images/tristate-ex1-sim.png b/tutorial/ICESTICK/T29-tristate/images/tristate-ex1-sim.png similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex1-sim.png rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex1-sim.png diff --git a/tutorial/T29-tristate/images/tristate-ex1.png b/tutorial/ICESTICK/T29-tristate/images/tristate-ex1.png similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex1.png rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex1.png diff --git a/tutorial/T29-tristate/images/tristate-ex1.svg b/tutorial/ICESTICK/T29-tristate/images/tristate-ex1.svg similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex1.svg rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex1.svg diff --git a/tutorial/T29-tristate/images/tristate-ex2-sim.png b/tutorial/ICESTICK/T29-tristate/images/tristate-ex2-sim.png similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex2-sim.png rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex2-sim.png diff --git a/tutorial/T29-tristate/images/tristate-ex2.png b/tutorial/ICESTICK/T29-tristate/images/tristate-ex2.png similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex2.png rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex2.png diff --git a/tutorial/T29-tristate/images/tristate-ex2.svg b/tutorial/ICESTICK/T29-tristate/images/tristate-ex2.svg similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex2.svg rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex2.svg diff --git a/tutorial/T29-tristate/images/tristate-ex3.png b/tutorial/ICESTICK/T29-tristate/images/tristate-ex3.png similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex3.png rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex3.png diff --git a/tutorial/T29-tristate/images/tristate-ex3.svg b/tutorial/ICESTICK/T29-tristate/images/tristate-ex3.svg similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex3.svg rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex3.svg diff --git a/tutorial/T29-tristate/images/tristate-ex4.png b/tutorial/ICESTICK/T29-tristate/images/tristate-ex4.png similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex4.png rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex4.png diff --git a/tutorial/T29-tristate/images/tristate-ex4.svg b/tutorial/ICESTICK/T29-tristate/images/tristate-ex4.svg similarity index 100% rename from tutorial/T29-tristate/images/tristate-ex4.svg rename to tutorial/ICESTICK/T29-tristate/images/tristate-ex4.svg diff --git a/tutorial/T29-tristate/tristate1.pcf b/tutorial/ICESTICK/T29-tristate/tristate1.pcf similarity index 100% rename from tutorial/T29-tristate/tristate1.pcf rename to tutorial/ICESTICK/T29-tristate/tristate1.pcf diff --git a/tutorial/T29-tristate/tristate1.v b/tutorial/ICESTICK/T29-tristate/tristate1.v similarity index 100% rename from tutorial/T29-tristate/tristate1.v rename to tutorial/ICESTICK/T29-tristate/tristate1.v diff --git a/tutorial/T29-tristate/tristate1_tb.gtkw b/tutorial/ICESTICK/T29-tristate/tristate1_tb.gtkw similarity index 100% rename from tutorial/T29-tristate/tristate1_tb.gtkw rename to tutorial/ICESTICK/T29-tristate/tristate1_tb.gtkw diff --git a/tutorial/T29-tristate/tristate1_tb.v b/tutorial/ICESTICK/T29-tristate/tristate1_tb.v similarity index 100% rename from tutorial/T29-tristate/tristate1_tb.v rename to tutorial/ICESTICK/T29-tristate/tristate1_tb.v diff --git a/tutorial/T29-tristate/tristate2.pcf b/tutorial/ICESTICK/T29-tristate/tristate2.pcf similarity index 100% rename from tutorial/T29-tristate/tristate2.pcf rename to tutorial/ICESTICK/T29-tristate/tristate2.pcf diff --git a/tutorial/T29-tristate/tristate2.v b/tutorial/ICESTICK/T29-tristate/tristate2.v similarity index 100% rename from tutorial/T29-tristate/tristate2.v rename to tutorial/ICESTICK/T29-tristate/tristate2.v diff --git a/tutorial/T29-tristate/tristate2_tb.gtkw b/tutorial/ICESTICK/T29-tristate/tristate2_tb.gtkw similarity index 100% rename from tutorial/T29-tristate/tristate2_tb.gtkw rename to tutorial/ICESTICK/T29-tristate/tristate2_tb.gtkw diff --git a/tutorial/T29-tristate/tristate2_tb.v b/tutorial/ICESTICK/T29-tristate/tristate2_tb.v similarity index 100% rename from tutorial/T29-tristate/tristate2_tb.v rename to tutorial/ICESTICK/T29-tristate/tristate2_tb.v diff --git a/tutorial/T30-microbio/M0.asm b/tutorial/ICESTICK/T30-microbio/M0.asm similarity index 100% rename from tutorial/T30-microbio/M0.asm rename to tutorial/ICESTICK/T30-microbio/M0.asm diff --git a/tutorial/T30-microbio/M1.asm b/tutorial/ICESTICK/T30-microbio/M1.asm similarity index 100% rename from tutorial/T30-microbio/M1.asm rename to tutorial/ICESTICK/T30-microbio/M1.asm diff --git a/tutorial/T30-microbio/M2.asm b/tutorial/ICESTICK/T30-microbio/M2.asm similarity index 100% rename from tutorial/T30-microbio/M2.asm rename to tutorial/ICESTICK/T30-microbio/M2.asm diff --git a/tutorial/T30-microbio/Makefile b/tutorial/ICESTICK/T30-microbio/Makefile similarity index 100% rename from tutorial/T30-microbio/Makefile rename to tutorial/ICESTICK/T30-microbio/Makefile diff --git a/tutorial/T30-microbio/TM1.asm b/tutorial/ICESTICK/T30-microbio/TM1.asm similarity index 100% rename from tutorial/T30-microbio/TM1.asm rename to tutorial/ICESTICK/T30-microbio/TM1.asm diff --git a/tutorial/T30-microbio/TM2.asm b/tutorial/ICESTICK/T30-microbio/TM2.asm similarity index 100% rename from tutorial/T30-microbio/TM2.asm rename to tutorial/ICESTICK/T30-microbio/TM2.asm diff --git a/tutorial/T30-microbio/divider.vh b/tutorial/ICESTICK/T30-microbio/divider.vh similarity index 100% rename from tutorial/T30-microbio/divider.vh rename to tutorial/ICESTICK/T30-microbio/divider.vh diff --git a/tutorial/T30-microbio/dividerp1.v b/tutorial/ICESTICK/T30-microbio/dividerp1.v similarity index 100% rename from tutorial/T30-microbio/dividerp1.v rename to tutorial/ICESTICK/T30-microbio/dividerp1.v diff --git a/tutorial/T30-microbio/genrom.v b/tutorial/ICESTICK/T30-microbio/genrom.v similarity index 100% rename from tutorial/T30-microbio/genrom.v rename to tutorial/ICESTICK/T30-microbio/genrom.v diff --git a/tutorial/T30-microbio/images/M0-asm-picture.png b/tutorial/ICESTICK/T30-microbio/images/M0-asm-picture.png similarity index 100% rename from tutorial/T30-microbio/images/M0-asm-picture.png rename to tutorial/ICESTICK/T30-microbio/images/M0-asm-picture.png diff --git a/tutorial/T30-microbio/images/M0-asm-sim1.png b/tutorial/ICESTICK/T30-microbio/images/M0-asm-sim1.png similarity index 100% rename from tutorial/T30-microbio/images/M0-asm-sim1.png rename to tutorial/ICESTICK/T30-microbio/images/M0-asm-sim1.png diff --git a/tutorial/T30-microbio/images/M1-asm-sim1.png b/tutorial/ICESTICK/T30-microbio/images/M1-asm-sim1.png similarity index 100% rename from tutorial/T30-microbio/images/M1-asm-sim1.png rename to tutorial/ICESTICK/T30-microbio/images/M1-asm-sim1.png diff --git a/tutorial/T30-microbio/images/M2-asm-sim1.png b/tutorial/ICESTICK/T30-microbio/images/M2-asm-sim1.png similarity index 100% rename from tutorial/T30-microbio/images/M2-asm-sim1.png rename to tutorial/ICESTICK/T30-microbio/images/M2-asm-sim1.png diff --git a/tutorial/T30-microbio/images/M2-asm-sim2.png b/tutorial/ICESTICK/T30-microbio/images/M2-asm-sim2.png similarity index 100% rename from tutorial/T30-microbio/images/M2-asm-sim2.png rename to tutorial/ICESTICK/T30-microbio/images/M2-asm-sim2.png diff --git a/tutorial/T30-microbio/images/microbio-1.png b/tutorial/ICESTICK/T30-microbio/images/microbio-1.png similarity index 100% rename from tutorial/T30-microbio/images/microbio-1.png rename to tutorial/ICESTICK/T30-microbio/images/microbio-1.png diff --git a/tutorial/T30-microbio/images/microbio-1.svg b/tutorial/ICESTICK/T30-microbio/images/microbio-1.svg similarity index 100% rename from tutorial/T30-microbio/images/microbio-1.svg rename to tutorial/ICESTICK/T30-microbio/images/microbio-1.svg diff --git a/tutorial/T30-microbio/images/microbio-2.png b/tutorial/ICESTICK/T30-microbio/images/microbio-2.png similarity index 100% rename from tutorial/T30-microbio/images/microbio-2.png rename to tutorial/ICESTICK/T30-microbio/images/microbio-2.png diff --git a/tutorial/T30-microbio/images/microbio-2.svg b/tutorial/ICESTICK/T30-microbio/images/microbio-2.svg similarity index 100% rename from tutorial/T30-microbio/images/microbio-2.svg rename to tutorial/ICESTICK/T30-microbio/images/microbio-2.svg diff --git a/tutorial/T30-microbio/images/microbio-3.png b/tutorial/ICESTICK/T30-microbio/images/microbio-3.png similarity index 100% rename from tutorial/T30-microbio/images/microbio-3.png rename to tutorial/ICESTICK/T30-microbio/images/microbio-3.png diff --git a/tutorial/T30-microbio/images/microbio-3.svg b/tutorial/ICESTICK/T30-microbio/images/microbio-3.svg similarity index 100% rename from tutorial/T30-microbio/images/microbio-3.svg rename to tutorial/ICESTICK/T30-microbio/images/microbio-3.svg diff --git a/tutorial/T30-microbio/images/microbio-4.png b/tutorial/ICESTICK/T30-microbio/images/microbio-4.png similarity index 100% rename from tutorial/T30-microbio/images/microbio-4.png rename to tutorial/ICESTICK/T30-microbio/images/microbio-4.png diff --git a/tutorial/T30-microbio/images/microbio-4.svg b/tutorial/ICESTICK/T30-microbio/images/microbio-4.svg similarity index 100% rename from tutorial/T30-microbio/images/microbio-4.svg rename to tutorial/ICESTICK/T30-microbio/images/microbio-4.svg diff --git a/tutorial/T30-microbio/images/microbio-5.png b/tutorial/ICESTICK/T30-microbio/images/microbio-5.png similarity index 100% rename from tutorial/T30-microbio/images/microbio-5.png rename to tutorial/ICESTICK/T30-microbio/images/microbio-5.png diff --git a/tutorial/T30-microbio/images/microbio-5.svg b/tutorial/ICESTICK/T30-microbio/images/microbio-5.svg similarity index 100% rename from tutorial/T30-microbio/images/microbio-5.svg rename to tutorial/ICESTICK/T30-microbio/images/microbio-5.svg diff --git a/tutorial/T30-microbio/images/microbio-6.png b/tutorial/ICESTICK/T30-microbio/images/microbio-6.png similarity index 100% rename from tutorial/T30-microbio/images/microbio-6.png rename to tutorial/ICESTICK/T30-microbio/images/microbio-6.png diff --git a/tutorial/T30-microbio/images/microbio-6.svg b/tutorial/ICESTICK/T30-microbio/images/microbio-6.svg similarity index 100% rename from tutorial/T30-microbio/images/microbio-6.svg rename to tutorial/ICESTICK/T30-microbio/images/microbio-6.svg diff --git a/tutorial/T30-microbio/masm.py b/tutorial/ICESTICK/T30-microbio/masm.py similarity index 100% rename from tutorial/T30-microbio/masm.py rename to tutorial/ICESTICK/T30-microbio/masm.py diff --git a/tutorial/T30-microbio/microbio.pcf b/tutorial/ICESTICK/T30-microbio/microbio.pcf similarity index 100% rename from tutorial/T30-microbio/microbio.pcf rename to tutorial/ICESTICK/T30-microbio/microbio.pcf diff --git a/tutorial/T30-microbio/microbio.v b/tutorial/ICESTICK/T30-microbio/microbio.v similarity index 100% rename from tutorial/T30-microbio/microbio.v rename to tutorial/ICESTICK/T30-microbio/microbio.v diff --git a/tutorial/T30-microbio/microbio_tb.gtkw b/tutorial/ICESTICK/T30-microbio/microbio_tb.gtkw similarity index 100% rename from tutorial/T30-microbio/microbio_tb.gtkw rename to tutorial/ICESTICK/T30-microbio/microbio_tb.gtkw diff --git a/tutorial/T30-microbio/microbio_tb.v b/tutorial/ICESTICK/T30-microbio/microbio_tb.v similarity index 100% rename from tutorial/T30-microbio/microbio_tb.v rename to tutorial/ICESTICK/T30-microbio/microbio_tb.v diff --git a/tutorial/T30-microbio/prog.list b/tutorial/ICESTICK/T30-microbio/prog.list similarity index 100% rename from tutorial/T30-microbio/prog.list rename to tutorial/ICESTICK/T30-microbio/prog.list